840
Views
175
CrossRef citations to date
0
Altmetric
Original Articles

High-κ Dielectric Materials for Microelectronics

&
Pages 231-285 | Published online: 24 Jun 2010

Keep up to date with the latest research on this topic with citation updates for this article.

Read on this site (2)

Amit Singh & Sanjai Singh. (2021) Effect of annealing temperature on electrical properties of Al/ZrO2/p-Si MOS capacitor. Ferroelectrics Letters Section 48:1-3, pages 40-45.
Read now
N. Izyumskaya, Ya. Alivov & H. Morkoç. (2009) Oxides, Oxides, and More Oxides: High-κ Oxides, Ferroelectrics, Ferromagnetics, and Multiferroics. Critical Reviews in Solid State and Materials Sciences 34:3-4, pages 89-179.
Read now

Articles from other publishers (173)

Yiyi Yan, Valeriya Kilchytska, Denis Flandre & Jean-Pierre Raskin. (2023) Analysis of trap distribution and NBTI degradation in Al2O3/SiO2 dielectric stack. Solid-State Electronics 207, pages 108675.
Crossref
Aohang Zhang, Wensuo Chen, Jiaweiwen Huang, Qisheng Yu, Yuying Wang & Jian Li. (2023) Single-event effect hardening of the Schottky contact super barrier rectifier (SSBR) with high-k gate dielectric. Journal of Computational Electronics.
Crossref
Minseok Kim, Seyoung Oh, Byungjin Cho & Jong Hoon Joo. (2023) Conduction Mechanism in Acceptor- or Donor-Doped ZrO 2 Bulk and Thin Films . ACS Applied Materials & Interfaces 15:26, pages 31627-31634.
Crossref
Duc-Quang Hoang, Ngoc-Hai Vu, Thanh-Qui Nguyen, Thanh-Dung Hoang, Xuan-Huu Cao & Dinh-Khang Pham. (2023) Growth mechanisms of hBN crystalline nanostructures with rf sputtering deposition: challenges, opportunities, and future perspectives. Physica Scripta 98:4, pages 042001.
Crossref
Orlando Auciello. (2023) Review of materials science and technological applications of transformational integrated multifunctional oxide/ultrananocrystalline diamond (UNCD) films/crystalline diamond for new generation of high-tech and biomedical devices. Journal of Materials Research 38:3, pages 571-585.
Crossref
A. Lale, A. Grappin, A. Lecestre, L. Mazenq, J. Launay & Pierre Temple-Boyer. (2023) Top-down integration of suspended N+/P/N+ silicon-nanowire-based ion-sensitive field effect transistors for pH analysis at the submicronic scale. Thin Solid Films 764, pages 139609.
Crossref
V. Manjunath, Chalapathi Uppala, Purusottam Reddy Bommireddy, Boseong Son, Huijin Kim, Chang-Hoi Ahn & Si-Hyun Park. (2023) Rapid thermal annealing influences on microstructure and electrical properties of Mo/ZrO2/n-Si/Al MISM junction with a high-k ZrO2 insulating layer. Physica B: Condensed Matter 648, pages 414423.
Crossref
Jihong Shi, Kelong Ao, Irum Firdous, Xiangyang Zhang, Muhammad Fahim, Lingyun Wang & Walid A. Daoud. (2023) Dynamic quantification of the overall effect of dielectric polarization. Nano Energy 105, pages 108029.
Crossref
L. Rebohle, A. Quade, T. Schumann, D. Blaschke, R. Hübner, R. Heller, R. Foest, J. Schäfer & W. Skorupa. (2022) Deposition of silicon oxide films on silicon using HelixJet - an atmospheric-pressure plasma jet process below 100 °C. Thin Solid Films 753, pages 139257.
Crossref
Mehrdad Rostami Osanloo, Kolade A. Oyekan & William G. Vandenberghe. (2022) A First-Principles Study on the Electronic, Thermodynamic and Dielectric Properties of Monolayer Ca(OH)2 and Mg(OH)2. Nanomaterials 12:10, pages 1774.
Crossref
Zongzhen Li, Jie Liu, Pengfei Zhai, Li Liu, Lijun Xu, Shengxia Zhang, Peipei Hu & Jian Zeng. (2022) The higher-k phase formation in amorphous HfO2 films by swift heavy ion irradiation. Journal of Crystal Growth 585, pages 126600.
Crossref
Yiyi Yan, Valeriya Kilchytska, Denis Flandre & Jean-Pierre Raskin. (2022) Investigation and Optimization of Traps Properties in Al2O3/SiO2 Dielectric Stacks Using Conductance Method. Solid-State Electronics, pages 108347.
Crossref
Giorgos Antoniou, Nathan R. Halcovitch, Marta Mucientes, William I. Milne, Arokia Nathan, Judith L. MacManus-Driscoll, Oleg V. Kolosov & George Adamopoulos. (2022) Solution-processed thin film transistors incorporating YSZ gate dielectrics processed at 400 °C. APL Materials 10:3.
Crossref
Yiyi Yan, Valeriya Kilchytska, Bin Wang, Sébastien Faniel, Yun Zeng, Jean-Pierre Raskin & Denis Flandre. (2022) Characterization of thin Al2O3/SiO2 dielectric stack for CMOS transistors. Microelectronic Engineering 254, pages 111708.
Crossref
Emanuela Schilirò, Patrick Fiorenza, Giuseppe Greco, Francesca Monforte, Guglielmo Guido Condorelli, Fabrizio Roccaforte, Filippo Giannazzo & Raffaella Lo Nigro. (2021) Early Growth Stages of Aluminum Oxide (Al 2 O 3 ) Insulating Layers by Thermal- and Plasma-Enhanced Atomic Layer Deposition on AlGaN/GaN Heterostructures . ACS Applied Electronic Materials 4:1, pages 406-415.
Crossref
Raffaella Lo Nigro, Patrick Fiorenza, Giuseppe Greco, Emanuela Schilirò & Fabrizio Roccaforte. (2022) Structural and Insulating Behaviour of High-Permittivity Binary Oxide Thin Films for Silicon Carbide and Gallium Nitride Electronic Devices. Materials 15:3, pages 830.
Crossref
Mehrdad Rostami Osanloo, Maarten L. Van de Put, Ali Saadat & William G. Vandenberghe. (2021) Identification of two-dimensional layered dielectrics from first principles. Nature Communications 12:1.
Crossref
A. Lale, M. Joly, S. Mekkaoui, X. Joly, E. Scheid, J. Launay & Pierre Temple-Boyer. (2021) Effect of thermal annealing on the dielectric, passivation and pH detection properties of aluminium oxide thin films deposited by plasma-enhanced atomic layer deposition. Thin Solid Films 732, pages 138761.
Crossref
Chun-Yi Chou, Teng-Jan Chang, Chin-I Wang, Chun-Yuan Wang, Yu-Tung Yin, Tsai-Fu Chung, Jer-Ren Yang, Hsin-Chih Lin & Miin-Jang Chen. (2020) Dielectric properties and reliability enhancement of atomic layer deposited thin films by in situ atomic layer substrate biasing . Journal of Materials Chemistry C 8:37, pages 13025-13032.
Crossref
Yu-Tung Yin, Yu-Sen Jiang, Yu-Ting Lin, Teng-Jan Chang, Hsin-Chih Lin & Miin-Jang Chen. (2020) Enhancement of Dielectric Properties of Nanoscale HfO 2 Thin Films Via Atomic Layer Bombardment . ACS Applied Electronic Materials 2:8, pages 2440-2448.
Crossref
Shuan Li, Youyu Lin, Guoling Li, Hongen Yu, Siyao Tang, Yiman Wu, Xingguo Li & Wenhuai Tian. (2020) Improved dielectric properties of La2O3–ZrO2 bilayer films for novel gate dielectrics. Vacuum 178, pages 109448.
Crossref
Jagaran Acharya, Ryan Goul, Jamie Wilt & Judy Wu. (2020) Switching On/Off Negative Capacitance in Ultrathin Ferroelectric/Dielectric Capacitors. ACS Applied Materials & Interfaces 12:8, pages 9902-9908.
Crossref
M. Benamira, L. Niinistö, A. Ringuedé & M. Cassir. (2020) India-doped zirconia multi-layered thin film synthesized by atomic layer deposition for IT-SOFCs: Synthesis and electrochemical properties. Materials Chemistry and Physics 241, pages 122386.
Crossref
Zongzhen Li, Tianqi Liu, Jinshun Bi, Huijun Yao, Zhenxing Zhang, Shengxia Zhang, Jiande Liu, Pengfei Zhai & Jie Liu. (2019) Charge trapping effect in HfO2-based high-k gate dielectric stacks after heavy ion irradiation: The role of oxygen vacancy. Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms 459, pages 143-147.
Crossref
Shuan Li, Yanqing Wu, Guoling Li, Hongen Yu, Kai Fu, Yong Wu, Jie Zheng, Wenhuai Tian & Xingguo Li. (2019) Ta-doped modified Gd2O3 film for a novel high k gate dielectric. Journal of Materials Science & Technology 35:10, pages 2305-2311.
Crossref
Amritanand Sebastian, Andrew Pannone, Shiva Subbulakshmi Radhakrishnan & Saptarshi Das. (2019) Gaussian synapses for probabilistic neural networks. Nature Communications 10:1.
Crossref
Mikhail Kuzmin, Juha‐Pekka Lehtiö, Jaakko Mäkelä, Muhammad Yasir, Zahra Jahanshah Rad, Esa Vuorinen, Antti Lahti, Marko Punkkinen, Pekka Laukkanen, Kalevi Kokko, Hannu‐Pekka Hedman, Risto Punkkinen, Mika Lastusaari, Päivikki Repo & Hele Savin. (2019) Observation of Crystalline Oxidized Silicon Phase. Advanced Materials Interfaces 6:6, pages 1802033.
Crossref
Pekka Laukkanen & Mikhail Kuzmin. 2019. Advanced Nanomaterials for Solar Cells and Light Emitting Diodes. Advanced Nanomaterials for Solar Cells and Light Emitting Diodes 423 455 .
Upendra Kashniyal & Kamal P. Pandey. 2019. The Physics of Semiconductor Devices. The Physics of Semiconductor Devices 589 595 .
Prashant Singh, Rajesh Kumar Jha, Rajat Kumar Singh & B. R. Singh. 2019. The Physics of Semiconductor Devices. The Physics of Semiconductor Devices 517 524 .
Marjukka Tuominen, Jaakko Mäkelä, Muhammad Yasir, Johnny Dahl, Sari Granroth, Juha-Pekka Lehtiö, Roberto Félix, Pekka Laukkanen, Mikhail Kuzmin, Mikko Laitinen, Marko P.J. Punkkinen, Hannu-Pekka Hedman, Risto Punkkinen, Ville Polojärvi, Jari Lyytikäinen, Antti Tukiainen, Mircea Guina & Kalevi Kokko. (2018) Oxidation-Induced Changes in the ALD-Al 2 O 3 /InAs(100) Interface and Control of the Changes for Device Processing . ACS Applied Materials & Interfaces 10:51, pages 44932-44940.
Crossref
Anjali Gupta, Narendra Yadava & R. K. Chauhan. (2018) Impact of Symmetric Double Material Gate Oxide on Analog and RF Performance of FDSOI MOSFET. Impact of Symmetric Double Material Gate Oxide on Analog and RF Performance of FDSOI MOSFET.
Ahmet Lale, Emmanuel Scheid, Fuccio Cristiano, Lucien Datas, Benjamin Reig, Jérome Launay & Pierre Temple-Boyer. (2018) Study of aluminium oxide thin films deposited by plasma-enhanced atomic layer deposition from tri-methyl-aluminium and dioxygen precursors: Investigation of interfacial and structural properties. Thin Solid Films 666, pages 20-27.
Crossref
Bo-Eun Park, Yujin Lee, Il-Kwon Oh, Wontae Noh, Satoko Gatineau & Hyungjun Kim. (2018) Structural and electrical properties of Ge-doped ZrO2 thin films grown by atomic layer deposition for high-k dielectrics. Journal of Materials Science 53:21, pages 15237-15245.
Crossref
Xianqiang Liu, Xiaodi Xu, Chenjie Gu, Renyuan Gu, Weiwei Wang, Wenjun Liu & Tianli Duan. (2018) Investigating the impact of the defect dynamic characteristics on the PBTI in the high-κ gate device. Microelectronics Reliability 80, pages 24-28.
Crossref
Ajay Kumar, Divya Kaur, M.M. Tripathi & Rishu Chaujar. (2017) Reliability of high-k gate stack on transparent gate recessed channel (TGRC) MOSFET. Reliability of high-k gate stack on transparent gate recessed channel (TGRC) MOSFET.
Chenjie Gu, Diing Shenp Ang, Yuan Gao, Renyuan Gu, Ziqi Zhao & Chao Zhu. (2017) A Vacancy-Interstitial Defect Pair Model for Positive-Bias Temperature Stress-Induced Electron Trapping Transformation in the High- $\kappa $ Gate n-MOSFET. IEEE Transactions on Electron Devices 64:6, pages 2505-2511.
Crossref
Robert M. Wallace. 2017. Springer Handbook of Electronic and Photonic Materials. Springer Handbook of Electronic and Photonic Materials 1 1 .
Mikhail Kuzmin, Pekka Laukkanen, Jaakko Mäkelä, Muhammad Yasir, Marjukka Tuominen, Johnny Dahl, Marko P. J. Punkkinen, Kalevi Kokko, Hannu-Pekka Hedman, Jongyun Moon, Risto Punkkinen, Mika Lastusaari, Ville Polojärvi, Ville-Markus Korpijärvi & Mircea Guina. (2016) Toward the Atomically Abrupt Interfaces of SiO x /Semiconductor Junctions . Advanced Materials Interfaces 3:11, pages 1500510.
Crossref
M. Kuzmin, P. Laukkanen, M. Yasir, J. Mäkelä, M. Tuominen, J. Dahl, M. P. J. Punkkinen, K. Kokko, H.-P. Hedman, J. Moon, R. Punkkinen, V. Polojärvi, V.-M. Korpijärvi & M. Guina. (2015) Observation of unusual metal-semiconductor interaction and metal-induced gap states at an oxide-semiconductor interface: The case of epitaxial BaO/Ge(100) junction. Physical Review B 92:16.
Crossref
Zhenjie Tang, Xubing Lu, Yupeng Yang, Jing Zhang, Dongwei Ma, Rong Li, Xiwei Zhang, Dan Hu & Tingxian Li. (2015) Dependence of memory characteristics on the (ZrO 2 ) x (SiO 2 ) 1− x elemental composition for charge trap flash memory applications . Semiconductor Science and Technology 30:6, pages 065010.
Crossref
Po-Chin Huang, Jone F. Chen, Shih Chang Tsai, San Lein Wu, Kai-Shiang Tsai, Tsung Hsien Kao, Yean-Kuen Fang, Chien-Ming Lai, Chia-Wei Hsu, Yi-Wen Chen & Osbert Cheng. (2015) Impact of Uniaxial Strain on Random Telegraph Noise in High-<inline-formula> <tex-math notation="LaTeX">$k$ </tex-math></inline-formula>/Metal Gate pMOSFETs. IEEE Transactions on Electron Devices 62:3, pages 988-993.
Crossref
Y Kim, C Pham & J P Chang. (2015) Potentials and challenges of integration for complex metal oxides in CMOS devices and beyond. Journal of Physics D: Applied Physics 48:6, pages 063001.
Crossref
M. Tuominen, J. Lång, J. Dahl, M. Kuzmin, M. Yasir, J. Mäkelä, J. R. Osiecki, K. Schulte, M. P. J. Punkkinen, P. Laukkanen & K. Kokko. (2015) Oxidized crystalline (3 × 1)-O surface phases of InAs and InSb studied by high-resolution photoelectron spectroscopy. Applied Physics Letters 106:1.
Crossref
M. Kuzmin, P. Laukkanen, M. P. J. Punkkinen, M. Yasir, M. Tuominen, J. Dahl, J. J. K. Lång, J. Mäkelä & K. Kokko. (2014) Atomic structure and thermally induced transformation of the crystalline BaO/Si(100) junction. Physical Review B 90:23.
Crossref
Andreas Krause, Walter M. Weber, Darius Pohl, Bernd Rellinghaus, Marcel Verheijen & Thomas Mikolajick. (2014) Investigation of Embedded Perovskite Nanoparticles for Enhanced Capacitor Permittivities. ACS Applied Materials & Interfaces 6:22, pages 19737-19743.
Crossref
Sunil G. Rathod, R. F. Bhajantri, V. Ravindrachary, P. K. Pujari & T. Sheela. (2014) Ionic conductivity and dielectric studies of LiClO 4 doped poly(vinylalcohol)(PVA)/chitosan(CS) composites . Journal of Advanced Dielectrics 04:04, pages 1450033.
Crossref
Ciril Jimeno, Jonathan Miras & Jordi Esquena. (2014) Hafnia–Silica Cryogels: Solvent‐Assisted Textural and Catalytic Control in the Citronellal Cyclization. ChemCatChem 6:9, pages 2626-2633.
Crossref
J. J. K. Lång, M. P. J. Punkkinen, M. Tuominen, H.-P. Hedman, M. Vähä-Heikkilä, V. Polojärvi, J. Salmi, V.-M. Korpijärvi, K. Schulte, M. Kuzmin, R. Punkkinen, P. Laukkanen, M. Guina & K. Kokko. (2014) Unveiling and controlling the electronic structure of oxidized semiconductor surfaces: Crystalline oxidized InSb(100)(1 × 2)-O. Physical Review B 90:4.
Crossref
Yew Hoong Wong, V. V. Atuchin, V. N. Kruchinin & Kuan Yew Cheong. (2013) Physical and dispersive optical characteristics of ZrON/Si thin-film system. Applied Physics A 115:3, pages 1069-1072.
Crossref
Mikhail Kuzmin, Marko P. J. Punkkinen, Pekka Laukkanen, Jouko J. K. Lång, Johnny Dahl, Levente Vitos & Kalevi Kokko. (2014) Atomic-Level Understanding of Interfaces in the Synthesis of Crystalline Oxides on Semiconductors: Sr- and Ba/Si(100)(2 × 3) Reconstructions. The Journal of Physical Chemistry C 118:4, pages 1894-1902.
Crossref
Rajashree Nori, S. N. Kale, U. Ganguly, N. Ravi Chandra Raju, D. S. Sutar, R. Pinto & V. Ramgopal Rao. (2014) Morphology and Curie temperature engineering in crystalline La0.7Sr0.3MnO3 films on Si by pulsed laser deposition. Journal of Applied Physics 115:3.
Crossref
Jalal Azadmanjiri, Christopher C. Berndt, James Wang, Ajay Kapoor, Vijay K. Srivastava & Cuie Wen. (2014) A review on hybrid nanolaminate materials synthesized by deposition techniques for energy storage applications. J. Mater. Chem. A 2:11, pages 3695-3708.
Crossref
Ekta Goel, Sanjay Kumar, Gopal Rawat, Mirgender Kumar, Sarvesh Dubey & S. Jit. 2014. Physics of Semiconductor Devices. Physics of Semiconductor Devices 193 196 .
M.A. Botzakaki, N. Xanthopoulos, E. Makarona, C. Tsamis, S. Kennou, S. Ladas, S.N. Georga & C.A. Krontiras. (2013) ALD deposited ZrO2 ultrathin layers on Si and Ge substrates: A multiple technique characterization. Microelectronic Engineering 112, pages 208-212.
Crossref
Yu Liu, Pengfei Guan, Bo Zhang, Michael L. Falk & Howard E. Katz. (2013) Ion Dependence of Gate Dielectric Behavior of Alkali Metal Ion-Incorporated Aluminas in Oxide Field-Effect Transistors. Chemistry of Materials 25:19, pages 3788-3796.
Crossref
Xiao Rong Luo, Jin Yong Cai, Ye Fan, Yuan Hang Fan, Xiao Wei Wang, Jie Wei, Yong Heng Jang, Kun Zhou, Chao Yin, Bo Zhang, Zhao Ji Li & GangYi Hu. (2013) Novel Low-Resistance Current Path UMOS With High-K Dielectric Pillars. IEEE Transactions on Electron Devices 60:9, pages 2840-2846.
Crossref
V.V. Atuchin, V.N. Kruchinin, Yew Hoong Wong & Kuan Yew Cheong. (2013) Microstructural and optical properties of ZrON/Si thin films. Materials Letters 105, pages 72-75.
Crossref
Lisa A. Fredin, Zhong Li, Michael T. Lanagan, Mark A. Ratner & Tobin J. Marks. (2013) Substantial Recoverable Energy Storage in Percolative Metallic Aluminum-Polypropylene Nanocomposites. Advanced Functional Materials 23:28, pages 3560-3569.
Crossref
T. V. Perevalov & A. V. Shaposhnikov. (2013) Ab initio simulation of the electronic structure of Ta2O5 crystal modifications. Journal of Experimental and Theoretical Physics 116:6, pages 995-1001.
Crossref
Debashis Panda & Tseung-Yuen Tseng. (2013) Growth, dielectric properties, and memory device applications of ZrO2 thin films. Thin Solid Films 531, pages 1-20.
Crossref
Pei Wang, Xiao-Rong Luo, Yong-Heng Jiang, Qi Wang, Kun Zhou, Li-Juan Wu, Xiao-Wei Wang, Jin-Yong Cai, Yin-Chun Luo, Ye Fan, Xia-Rong Hu, Yuan-Hang Fan, Jie Wei & Bo Zhang. (2013) Ultra-low specific on-resistance vertical double-diffused metal—oxide semiconductor with a high- k dielectric-filled extended trench . Chinese Physics B 22:2, pages 027305.
Crossref
M.D. Morales-Acosta, C.G. Alvarado-Beltrán, M.A. Quevedo-López, B.E. Gnade, A. Mendoza-Galván & R. Ramírez-Bon. (2013) Adjustable structural, optical and dielectric characteristics in sol–gel PMMA–SiO2 hybrid films. Journal of Non-Crystalline Solids 362, pages 124-135.
Crossref
Ariadne P. Kerasidou, Martha A. Botzakaki, Nikolaos Xanthopoulos, Stella Kennou, Spyridon Ladas, Stavroula N. Georga & Christoforos A. Krontiras. (2013) Probing the properties of atomic layer deposited ZrO2 films on p-Germanium substrates. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 31:1.
Crossref
Maurizio Canepa. 2013. Surface Science Techniques. Surface Science Techniques 99 135 .
A. Lamperti, E. Cianci, O. Salicio, L. Lamagna, S. Spiga & M. Fanciulli. (2012) Thermal stability of high‐κ oxides on SiO 2 /Si or Si x N y /SiO 2 /Si for charge‐trapping nonvolatile memories . Surface and Interface Analysis 45:1, pages 390-393.
Crossref
Lisa A. Fredin, Zhong Li, Mark A. Ratner, Michael T. Lanagan & Tobin J. Marks. (2012) Enhanced Energy Storage and Suppressed Dielectric Loss in Oxide Core-Shell-Polyolefin Nanocomposites by Moderating Internal Surface Area and Increasing Shell Thickness. Advanced Materials 24:44, pages 5946-5953.
Crossref
B. Dlubak, M.-B. Martin, C. Deranlot, K. Bouzehouane, S. Fusil, R. Mattana, F. Petroff, A. Anane, P. Seneor & A. Fert. (2012) Homogeneous pinhole free 1 nm Al2O3 tunnel barriers on graphene. Applied Physics Letters 101:20.
Crossref
Andrea Bernasconi, Monica Dapiaggi, Alessandro Pavese, Daniel T. Bowron & Silvia Imberti. (2012) Local Structure of Si-Al-Ca-Na-O Glasses from Coupled Neutron and X-ray Total Scattering Data. The Journal of Physical Chemistry B 116:43, pages 13114-13123.
Crossref
H. Zhu, C. Tang, L. R. C. Fonseca & R. Ramprasad. (2012) Recent progress in ab initio simulations of hafnia-based gate stacks. Journal of Materials Science 47:21, pages 7399-7416.
Crossref
G. Pilania, H. Zhu & R. Ramprasad. 2012. A Matter of Density. A Matter of Density 271 312 .
Fu‐Chien Chiu, Somnath Mondal & Tung‐Ming Pan. 2012. High‐k Gate Dielectrics for CMOS Technology. High‐k Gate Dielectrics for CMOS Technology 111 184 .
Pooi See Lee, Mei Yin Chan & Peter Damarwan. 2012. High‐k Gate Dielectrics for CMOS Technology. High‐k Gate Dielectrics for CMOS Technology 501 530 .
B. Dlubak, P. R. Kidambi, R. S. Weatherup, S. Hofmann & J. Robertson. (2012) Substrate-assisted nucleation of ultra-thin dielectric layers on graphene by atomic layer deposition. Applied Physics Letters 100:17.
Crossref
M. H. Zoellner, J. Dabrowski, P. Zaumseil, A. Giussani, M. A. Schubert, G. Lupina, H. Wilkens, J. Wollschläger, M. Reichling, M. Bäumer & T. Schroeder. (2012) Stacking behavior of twin-free type- oriented CeO (111) films on hexagonal Pr O (0001)/Si(111) systems . Physical Review B 85:3.
Crossref
Stepan S. Batsanov, Sergei M. Gavrilkin, Andrei S. Batsanov, Konstantin B. Poyarkov, Inna I. Kulakova, David W. Johnson & Budhika G. Mendis. (2012) Giant dielectric permittivity of detonation-produced nanodiamond is caused by water. Journal of Materials Chemistry 22:22, pages 11166.
Crossref
K.J. Chung, T.J. Park, P. Sivasubramani, J. Kim & J. Ahn. (2012) Impact of ozone concentration on atomic layer deposited HfO2 on GaAs. Microelectronic Engineering 89, pages 80-83.
Crossref
Chengxing Cui & Jie Ren. (2012) A density functional theory study on the reactions of chlorine loss in ZrO2 thin films by atomic-layer deposition. Computational and Theoretical Chemistry 979, pages 38-43.
Crossref
Hongtao Zhang, Xuefeng Guo, Jingshu Hui, Shuxin Hu, Wei Xu & Daoben Zhu. (2011) Interface Engineering of Semiconductor/Dielectric Heterojunctions toward Functional Organic Thin-Film Transistors. Nano Letters 11:11, pages 4939-4946.
Crossref
Michael S. Gaither, Frank W. DelRio, Richard S. Gates & Robert F. Cook. (2011) Deformation and fracture of single-crystal silicon theta-like specimens. Journal of Materials Research 26:20, pages 2575-2589.
Crossref
Lei Shi & Zhiguo Liu. (2011) Characterization upon electrical hysteresis and thermal diffusion of TiAl3O x dielectric film. Nanoscale Research Letters 6:1.
Crossref
Wei Li, Zhijun Chen, Ramesh N. Premnath, Bernd Kabius & Orlando Auciello. (2011) Controllable giant dielectric constant in AlOx/TiOy nanolaminates. Journal of Applied Physics 110:2.
Crossref
K C Narasimhamurthy & Roy Paily. (2011) High-performance local back gate thin-film field-effect transistors using sorted carbon nanotubes on an amino-silane treated hafnium oxide surface. Semiconductor Science and Technology 26:7, pages 075002.
Crossref
M. V. Ivanov, T. V. Perevalov, V. Sh. Aliev, V. A. Gritsenko & V. V. Kaichev. (2011) Ab initio simulation of the electronic structure of δ-Ta2O5 with oxygen vacancy and comparison with experiment. Journal of Experimental and Theoretical Physics 112:6, pages 1035-1041.
Crossref
Anton S. Korotkov. (2011) Prediction of transparency and non-linear optical susceptibility of acentric bismuthate crystals. Prediction of transparency and non-linear optical susceptibility of acentric bismuthate crystals.
H. Zhu & R. Ramprasad. (2011) The stability and work function of TaC x N1− x alloy surfaces . Journal of Applied Physics 109:8.
Crossref
M. D. Morales-Acosta, M. A. Quevedo-López, Bruce E. Gnade & R. Ramírez-Bon. (2011) PMMA-SiO2 organic–inorganic hybrid films: determination of dielectric characteristics. Journal of Sol-Gel Science and Technology 58:1, pages 218-224.
Crossref
V. V. Atuchin, A. V. Kalinkin, V. A. Kochubey, V. N. Kruchinin, R. S. Vemuri & C. V. Ramana. (2011) Spectroscopic ellipsometry and x-ray photoelectron spectroscopy of La2O3 thin films deposited by reactive magnetron sputtering. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 29:2.
Crossref
Mino Yang, Hionsuck Baik, Viktoria Ivanovskaya, Christian Colliex & Anass Benayad. (2011) O K-energy loss near-edge structure change induced by tantalum impurity in monoclinic hafnium oxide. Journal of Applied Physics 109:5.
Crossref
Jie Ren, Chengxing Cui, Guangfen Zhou, Yanchun Liu, Yongqi Hu & Baozhu Wang. (2011) A theoretical study on initial growth mechanism of ZrO2 film using cyclopentadienyl-type precursor. Thin Solid Films 519:11, pages 3716-3721.
Crossref
M. Lukosius, C. Baristiran Kaynak, Ch. Wenger, G. Ruhl, S. Rushworth & P. Baumann. (2011) Atomic Vapor Depositions of Ti–Ta–O thin films for Metal–Insulator–Metal applications. Thin Solid Films 519:11, pages 3831-3834.
Crossref
H. Zhu & R. Ramprasad. (2011) Effective work function of metals interfaced with dielectrics: A first-principles study of the Pt-HfO interface . Physical Review B 83:8.
Crossref
Massiel Cristina Cisneros-Morales & Carolyn Rubin Aita. (2011) Intrinsic metastability of orthorhombic HfTiO4 in thin film hafnia-titania. Applied Physics Letters 98:5.
Crossref
K. S. Yew, D. S. Ang, L. J. Tang, K. Cui, G. Bersuker & P. S. Lysaght. (2011) Scanning Tunneling Microscopy Study of the Multi-Step Deposited and Annealed HfSiOx Gate Dielectric. Journal of The Electrochemical Society 158:10, pages H1021.
Crossref
Muhammad M. Hussain, Denis Shamiryan, Vasile Paraschiv, Kenichi Sano & Karen A. Reinhardt. 2010. Handbook of Cleaning in Semiconductor Manufacturing. Handbook of Cleaning in Semiconductor Manufacturing 237 284 .
Chris M. Sparks & Alain C. Diebold. 2010. Handbook of Cleaning in Semiconductor Manufacturing. Handbook of Cleaning in Semiconductor Manufacturing 543 564 .
H. Kamada, S. Toyoda, T. Tanimura, H. Kumigashira, M. Oshima, G. L. Liu, Z. Liu & T. Sukegawa. (2010) Interfacial reactions in Ru metal-electrode/HfSiON gate stack structures studied by synchrotron-radiation photoelectron spectroscopy. Journal of Applied Physics 108:12.
Crossref
H. Zhu, C. Tang & R. Ramprasad. (2010) Phase equilibria at and interfaces from first principles thermodynamics . Physical Review B 82:23.
Crossref
Gargi Dutta, Srijan Kumar Saha & Umesh V. Waghmare. (2010) Effects of Zr and Ti doping on the dielectric response of : A comparative first-principles study. Solid State Communications 150:41-42, pages 2020-2022.
Crossref
Yew Hoong Wong & Kuan Yew Cheong. (2010) ZrO2 thin films on Si substrate. Journal of Materials Science: Materials in Electronics 21:10, pages 980-993.
Crossref
Zhong Li, Lisa A. Fredin, Pratyush Tewari, Sara A. DiBenedetto, Michael T. Lanagan, Mark A. Ratner & Tobin J. Marks. (2010) In Situ Catalytic Encapsulation of Core-Shell Nanoparticles Having Variable Shell Thickness: Dielectric and Energy Storage Properties of High-Permittivity Metal Oxide Nanocomposites. Chemistry of Materials 22:18, pages 5154-5164.
Crossref
Massiel Cristina Cisneros-Morales & Carolyn Rubin Aita. (2010) Crystallization, metastable phases, and demixing in a hafnia-titania nanolaminate annealed at high temperature. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 28:5, pages 1161-1168.
Crossref
Shu-Jian Chen, Julia K. C. Abbott, Carlos A. Steren & Zi-Ling Xue. (2010) Synthesis, Characterization, and Crystal Structures of Metal Amide Cage Complexes Containing a M4O4 (M = Nb, Ta) Core Unit. Journal of Cluster Science 21:3, pages 325-337.
Crossref
Wei Li, B Kabius & O Auciello. (2010) Science and technology of biocompatible thin films for implantable biomedical devices. Science and technology of biocompatible thin films for implantable biomedical devices.
Anton S. Korotkov. (2010) Structures of acentric bismuthate crystals. Structures of acentric bismuthate crystals.
M. C. Cisneros-Morales & C. R. Aita. (2010) The effect of nanocrystallite size in monoclinic HfO2 films on lattice expansion and near-edge optical absorption. Applied Physics Letters 96:19.
Crossref
Shu-Jian Chen, Jian Zhang, Xianghua Yu, Xianhui Bu, Xue-Tai Chen & Zi-Ling Xue. (2010) Formation of Aminoxy and Oxo Complexes from the Reaction of Nb(NMe 2 ) 5 with O 2 and the Crystal Structure of Nb(NEt 2 ) 5 . Inorganic Chemistry 49:9, pages 4017-4022.
Crossref
Rakesh Sohal, Grzegorz Lupina, Olaf Seifarth, Peter Zaumseil, Christian Walczyk & Thomas Schroeder. (2010) Improving the dielectric constant of Al2O3 by cerium substitution for high-k MIM applications. Surface Science 604:3-4, pages 276-282.
Crossref
A. Cosceev, D. Müller-Sajak, H. Pfnür & K.R. Hofmann. (2010) Investigation of the electrical properties of the alkaline-earth oxides BaO, SrO and Ba0.7Sr0.3O on Si(001) as alternative gate dielectrics. Thin Solid Films 518:6, pages S281-S284.
Crossref
Monica Sawkar-Mathur, Chiara Marchiori, Jean Fompeyrine, Michael F. Toney, John Bargar & Jane P. Chang. (2010) Structural properties of epitaxial SrHfO3 thin films on Si (001). Thin Solid Films 518:6, pages S118-S122.
Crossref
X.F. Wang, Quan Li, P.F. Lee, J.Y. Dai & X.G. Gong. (2010) Characterization of the interface between the Hf-based high-k thin film and the Si using spatially resolved electron energy-loss spectroscopy. Micron 41:1, pages 15-19.
Crossref
R. Ramprasad, N. Shi & C. Tang. 2010. Dielectric Polymer Nanocomposites. Dielectric Polymer Nanocomposites 133 161 .
Xianghua Yu, Xue-Tai Chen & Zi-Ling Xue. (2009) Reactions of Oxygen with Metallaheterocyclic Alkyl Amide Complexes. Selective Insertion of Oxygen into Metal−Carbon Bonds. Organometallics 28:23, pages 6642-6645.
Crossref
Tsung-Liang Chen, Angela Kou, Avishai Ofan, Ophir Gaathon, R.M. OsgoodJr.Jr., Oleg Gang, Lakshmanan Vanamurthy, Sasha Bakhru & Hassaram Bakhru. (2009) Oxide heterogrowth on ion-exfoliated thin-film complex oxide substrates. Thin Solid Films 518:1, pages 269-273.
Crossref
A. Herrera‐Gomez, J. T. Grant, P. J. Cumpson, M. Jenko, F. S. Aguirre‐Tostado, C. R. Brundle, T. Conard, G. Conti, C. S. Fadley, J. Fulghum, K. Kobayashi, L. Kövér, H. Nohira, R. L. Opila, S. Oswald, R. W. Paynter, R. M. Wallace, W. S. M. Werner & J. Wolstenholme. (2009) Report on the 47th IUVSTA Workshop ‘Angle‐Resolved XPS: the current status and future prospects for angle‐resolved XPS of nano and subnano films’. Surface and Interface Analysis 41:11, pages 840-857.
Crossref
Shriram Ramanathan. (2009) Interface-mediated ultrafast carrier conduction in oxide thin films and superlattices for energy. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 27:5, pages 1126-1134.
Crossref
D. Tsoutsou, G. Apostolopoulos, S. F. Galata, P. Tsipas, A. Sotiropoulos, G. Mavrou, Y. Panayiotatos, A. Dimoulas, A. Lagoyannis, A. G. Karydas, V. Kantarelou & S. Harissopoulos. (2009) Stabilization of very high-k tetragonal phase in Ge-doped ZrO2 films grown by atomic oxygen beam deposition. Journal of Applied Physics 106:2.
Crossref
D. Tsoutsou, G. Apostolopoulos, S. Galata, P. Tsipas, A. Sotiropoulos, G. Mavrou, Y. Panayiotatos & A. Dimoulas. (2009) Stabilization of a very high-k tetragonal ZrO2 phase by direct doping with germanium. Microelectronic Engineering 86:7-9, pages 1626-1628.
Crossref
J. H. Baeck, S. A. Park, W. J. Lee, I. S. Jeong, K. Jeong, M.-H. Cho, Y. K. Kim, B. G. Min & D. H. Ko. (2009) Electronic and structural characteristics of Zr-incorporated Gd2O3 films on strained SiGe substrates. The Journal of Chemical Physics 130:20.
Crossref
Gargi Dutta. (2009) A first-principles study of the effects of Hf doping on the dielectric response in ZrO2. Journal of Applied Physics 105:10.
Crossref
He QiuShu-Jian ChenChang-Sheng WangYun-Dong WuIlia A. GuzeiXue-Tai ChenZi-Ling Xue. (2009) Synthesis and Characterization of Siloxy, Aminoxy, and Oxo Complexes from the Reaction of a Tantalum Amide Silyl Complex with Oxygen. Inorganic Chemistry 48:7, pages 3073-3079.
Crossref
Ryan M. Martin, Hans-Olof Blom & Jane P. Chang. (2009) Plasma etching of Hf-based high-k thin films. Part II. Ion-enhanced surface reaction mechanisms. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 27:2, pages 217-223.
Crossref
Ryan M. Martin & Jane P. Chang. (2009) Plasma etching of Hf-based high-k thin films. Part I. Effect of complex ions and radicals on the surface reactions. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 27:2, pages 209-216.
Crossref
D.H. Kim, H.I. Seo & Y.C. Kim. (2009) Structural Study of Interface Layers in Tetragonal-HfO 2 /Si using Density Functional Theory . Journal of the Korean Vacuum Society 18:1, pages 9-14.
Crossref
Shu-Jian Chen, Hu Cai & Zi-Ling Xue. (2008) Crystal Structure of TaCl(NMe 2 ) 4 and Its Reactions with Lithium Amides and Water. Indirect Observation of an Equilibrium among TaCl(NMe 2 ) 4 , Ta(NMe 2 ) 5 and Ta 2 (μ-Cl) 2 (NMe 2 ) 6 Cl 2 . Organometallics 28:1, pages 167-171.
Crossref
Gargi Dutta. (2009) A first-principles study of enhanced dielectric responses in Ti and Ce doped HfO2. Applied Physics Letters 94:1.
Crossref
Orlando Auciello. 2010. Implantable Neural Prostheses 2. Implantable Neural Prostheses 2 331 344 .
Eric Garfunkel, Jacob Gavartin & Gennadi Bersuker. 2008. Defects in Microelectronic Materials and Devices. Defects in Microelectronic Materials and Devices.
A. Dkhissi, A. Estève, C. Mastail, S. Olivier, G. Mazaleyrat, L. Jeloaica & M. Djafari Rouhani. (2008) Multiscale Modeling of the Atomic Layer Deposition of HfO 2 Thin Film Grown on Silicon: How to Deal with a Kinetic Monte Carlo Procedure . Journal of Chemical Theory and Computation 4:11, pages 1915-1927.
Crossref
Gargi Dutta & Umesh V Waghmare. (2008) Ti-doped ThO 2 : a first-principles study of dielectric properties . Journal of Physics D: Applied Physics 41:20, pages 205414.
Crossref
Monica Sawkar-Mathur & Jane P. Chang. (2008) Material and electrical properties of HfxRuy and HfxRuyNz metals as gate electrodes for p-metal oxide semiconductor field effect transistor devices. Journal of Applied Physics 104:8.
Crossref
Mo Wu, Y. I. Alivov & Hadis Morkoç. (2008) High-κ dielectrics and advanced channel concepts for Si MOSFET. Journal of Materials Science: Materials in Electronics 19:10, pages 915-951.
Crossref
Jiurong Liu, Ryan M. Martin & Jane P. Chang. (2008) Characteristics of Hf-silicate thin films synthesized by plasma enhanced atomic layer deposition. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 26:5, pages 1251-1257.
Crossref
Hitender Kumar Tyagi & P. J. George. (2008) Tunneling currents through ultra thin HfO2/Al2O3/HfO2 triple layer gate dielectrics for advanced MIS devices. Journal of Materials Science: Materials in Electronics 19:8-9, pages 902-907.
Crossref
Gargi Dutta & Umesh V. Waghmare. (2008) Dielectric response in Ce-doped ThO2. Physica B: Condensed Matter 403:13-16, pages 2197-2199.
Crossref
Gargi Dutta & Umesh V. Waghmare. (2008) Enhanced dielectric response in ZrO2 with Th substitution: A first-principles study. Solid State Communications 146:11-12, pages 495-497.
Crossref
C. Tang & R. Ramprasad. (2008) Oxygen defect accumulation at Si:HfO2 interfaces. Applied Physics Letters 92:18.
Crossref
C. Tang & R. Ramprasad. (2008) A study of Hf vacancies at Si:HfO2 heterojunctions. Applied Physics Letters 92:15.
Crossref
E. E. Hoppe & C. R. Aita. (2008) Suppression of near-edge optical absorption band in sputter deposited HfO2–Al2O3 nanolaminates containing nonmonoclinic HfO2. Applied Physics Letters 92:14.
Crossref
Sungyeon Kim, Moon-Ho Ham, Jae-Woong Lee, Woong Lee & Jae-Min Myoung. (2008) Properties of high-k Ti1−xSixO2 gate dielectric layers prepared at room temperature. Applied Surface Science 254:13, pages 3943-3948.
Crossref
Dong Chan Suh, Kwun Bum Chung, Mann-Ho Cho, Young Dae Cho & Dae-Hong Ko. (2011) The Study of Hafnium Silicate by Various Nitrogen Gas Annealing Treatment. MRS Proceedings 1073.
Crossref
J. Dąbrowski, G. Lippert, L. Oberbeck, U. Schröder, I. Costina, G. Łupina, M. Ratzke, P. Zaumseil & H.-J. Müssig. (2008) Morphology and Composition of Selected High-k Materials and Their Relevance to Dielectric Properties of Thin Films. Journal of The Electrochemical Society 155:5, pages G97.
Crossref
Gargi Dutta, K. P. S. S. Hembram, G. Mohan Rao & Umesh V. Waghmare. (2008) Enhanced dielectric response of ZrO2 upon Ti doping and introduction of O vacancies. Journal of Applied Physics 103:1.
Crossref
Francisco Zaera. (2008) The surface chemistry of thin film atomic layer deposition (ALD) processes for electronic device manufacturing. Journal of Materials Chemistry 18:30, pages 3521.
Crossref
N. Shi & R. Ramprasad. (2007) Local dielectric permittivity of HfO2 based slabs and stacks: A first principles study. Applied Physics Letters 91:24.
Crossref
Shu-Jian Chen, Xin-Hao Zhang, Xianghua Yu, He Qiu, Glenn P. A. Yap, Ilia A. Guzei, Zhenyang Lin, Yun-Dong Wu & Zi-Ling Xue. (2007) Reaction of Ta(NMe 2 ) 5 with O 2 :  Formation of Aminoxy and Unusual (Aminomethyl)amide Oxo Complexes and Theoretical Studies of the Mechanistic Pathways . Journal of the American Chemical Society 129:46, pages 14408-14421.
Crossref
C. Tang, B. Tuttle & R. Ramprasad. (2007) Diffusion of O vacancies near interfaces: An ab initio investigation . Physical Review B 76:7.
Crossref
C. Tang & R. Ramprasad. (2007) Oxygen pressure dependence of HfO2 stoichiometry: An ab initio investigation . Applied Physics Letters 91:2.
Crossref
T. Schroeder, G. Lupina, R. Sohal, G. Lippert, Ch. Wenger, O. Seifarth, M. Tallarida & D. Schmeisser. (2007) Synchrotron radiation x-ray photoelectron spectroscopy study on the interface chemistry of high-k PrxAl2−xO3 (x=0–2) dielectrics on TiN for dynamic random access memory applications. Journal of Applied Physics 102:1.
Crossref
Chunguang Tang & Ramamurthy Ramprasad. (2007) Ab initio study of oxygen interstitial diffusion near interfaces . Physical Review B 75:24.
Crossref
Wei Chen, Qing-Qing Sun, Min Xu, Shi-Jin Ding, David Wei Zhang & Li-Kang Wang. (2007) Atomic Layer Deposition of Hafnium Oxide from Tetrakis(ethylmethylamino)hafnium and Water Precursors. The Journal of Physical Chemistry C 111:17, pages 6495-6499.
Crossref
V. Sammelselg, R. Rammula, J. Aarik, A. Kikas, K. Kooser & T. Käämbre. (2007) XPS and AFM investigation of hafnium dioxide thin films prepared by atomic layer deposition on silicon. Journal of Electron Spectroscopy and Related Phenomena 156-158, pages 150-154.
Crossref
Donald Windover, D. L. Gil, J. P. Cline, A Henins, N. Armstrong, P. Y. Hung, S. C. Song, R. Jammy & A. Diebold. (2011) X-Ray Reflectometry Determination of Structural Information from Atomic Layer Deposition Nanometer-scale Hafnium Oxide Thin Films. MRS Proceedings 996.
Crossref
X. F. Wang, Quan Li, R. F. Egerton, P. F. Lee, J. Y. Dai, Z. F. Hou & X. G. Gong. (2007) Effect of Al addition on the microstructure and electronic structure of HfO2 film. Journal of Applied Physics 101:1.
Crossref
A. Stesmans & V. V. Afanas’ev. 2007. Advanced Gate Stacks for High-Mobility Semiconductors. Advanced Gate Stacks for High-Mobility Semiconductors 211 228 .
Gargi Dutta, K. P. S. S. Hembram, G. Mohan Rao & Umesh V. Waghmare. (2006) Effects of O vacancies and C doping on dielectric properties of ZrO2: A first-principles study. Applied Physics Letters 89:20.
Crossref
S. K. Ray, R. Mahapatra & S. Maikap. (2006) High-k gate oxide for silicon heterostructure MOSFET devices. Journal of Materials Science: Materials in Electronics 17:9, pages 689-710.
Crossref
C.P.A. Mulcahy, B. Böck, P.A. Ebblewhite, H.P. Hebert & S. Biswas. (2006) Ultra low energy SIMS depth profiling of sub-1.5nm silicon oxynitride films. Applied Surface Science 252:19, pages 7198-7200.
Crossref
C. R. Essary, K. Ramani, V. Craciun & R. K. Singh. (2006) Improvement in electrical properties and thermal stability of low-temperature-processed Hf–Al–O gate dielectrics. Applied Physics Letters 88:18.
Crossref
J. Choi, R. Puthenkovilakam & J. P. Chang. (2006) Effect of nitrogen on the electronic properties of hafnium oxynitrides. Journal of Applied Physics 99:5.
Crossref
K. B. Chung, C. N. Whang, M.-H. Cho, C. J. Yim & D.-H. Ko. (2006) Suppression of phase separation in Hf-silicate films using NH3 annealing treatment. Applied Physics Letters 88:8, pages 081903.
Crossref
Petko Vitanov, Antoaneta Harizanova, Ivan Petrov, Zvetana Alexieva & Tatiana Dimitrova. (2006) Deposition and Properties of Thin (ZrO2)x(Al2O3)1-x Films on Silicon. Plasma Processes and Polymers 3:2, pages 179-183.
Crossref
John Robertson. (2006) High dielectric constant gate oxides for metal oxide Si transistors. Reports on Progress in Physics 69:2, pages 327-396.
Crossref
T. Schroeder, P. Zaumseil, G. Weidner, Ch. Wenger, J. Dabrowski, H.-J. Müssig & P. Storck. (2006) On the epitaxy of twin-free cubic (111) praseodymium sesquioxide films on Si(111). Journal of Applied Physics 99:1.
Crossref
Robert Wallace. 2007. Springer Handbook of Electronic and Photonic Materials. Springer Handbook of Electronic and Photonic Materials 625 657 .
P. SIVASUBRAMIANI, M.A. QUEVEDO-LOPEZ, T.H. LEE, M.J. KIM, B.E. GNADE & R.M. WALLACE. 2006. Defects in High-k Gate Dielectric Stacks. Defects in High-k Gate Dielectric Stacks 135 146 .
Riikka L. Puurunen. (2005) Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process. Journal of Applied Physics 97:12.
Crossref
P. Sivasubramani, M. J. Kim, B. E. Gnade, R. M. Wallace, L. F. Edge, D. G. Schlom, H. S. Craft & J.-P. Maria. (2005) Outdiffusion of La and Al from amorphous LaAlO3 in direct contact with Si (001). Applied Physics Letters 86:20.
Crossref
Ruitao Wang, Xin-Hao Zhang, Shu-Jian Chen, Xianghua Yu, Chang-Sheng Wang, David B. Beach, Yun-Dong Wu & Zi-Ling Xue. (2005) Reactions of d 0 Group 4 Amides with Dioxygen. Preparation of Unusual Oxo Aminoxy Complexes and Theoretical Studies of Their Formation . Journal of the American Chemical Society 127:14, pages 5204-5211.
Crossref
R. Puthenkovilakam, Y. -S. Lin, J. Choi, J. Lu, H.-O. Blom, P. Pianetta, D. Devine, M. Sendler & J. P. Chang. (2005) Effects of post–deposition annealing on the material characteristics of ultrathin HfO2 films on silicon. Journal of Applied Physics 97:2.
Crossref
Satischandra B. OgaleRobert M. Wallace & Orlando Auciello. 2005. Thin Films and Heterostructures for Oxide Electronics. Thin Films and Heterostructures for Oxide Electronics 79 126 .
J. Robertson. (2004) High dielectric constant oxides. The European Physical Journal Applied Physics 28:3, pages 265-291.
Crossref
Riikka L. Puurunen, Wilfried Vandervorst, Wim F. A. Besling, Olivier Richard, Hugo Bender, Thierry Conard, Chao Zhao, Annelies Delabie, Matty Caymax, Stefan De Gendt, Marc Heyns, Minna M. Viitanen, Marco de Ridder, Hidde H. Brongersma, Yde Tamminga, Thuy Dao, Toon de Win, Marcel Verheijen, Monja Kaiser & Marko Tuominen. (2004) Island growth in the atomic layer deposition of zirconium oxide and aluminum oxide on hydrogen-terminated silicon: Growth mode modeling and transmission electron microscopy. Journal of Applied Physics 96:9, pages 4878-4889.
Crossref

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.