47
Views
8
CrossRef citations to date
0
Altmetric
Original Articles

Precise estimation of crosstalk in multiline circuits

&
Pages 413-429 | Received 26 Jan 2006, Accepted 09 Mar 2007, Published online: 05 Apr 2007

References

  • Acar , E , Odabasioglu , A , Celik , M and Pileggi , L . 1999 . S2p: a stable 2- pole RC delay and coupling noise metric IC interconnects . Proceedings 9th Great Lakes Symposium on VLSI . 1999 , Ann Arbor, MI, USA. pp. 60 – 63 .
  • Agarwal , K , Cao , Y , Sato , T , Sylvester , D and Hu , C . 2002 . Efficient generation of delay change curves for noise-aware static timing analysis . Proc. of Asia and South Pac. Design Automation Conf . 2002 , Bangalore, India. pp. 77 – 84 .
  • Becer , MR , Blaauw , D , Zolotov , V , Panda , R and Hajj , IN . 2002 . Analysis of noise avoidance techniques in DSM interconnects using a complete crosstalk noise model . 2002 Design, Automation and Test in Europe Conference . 2002 , Paris, France. pp. 456 – 464 .
  • Cong , J , Pan , DZ and Srinavas , PV . 2001 . Improved crosstalk modeling for noise constrained interconnect optimization . Proceedings of ASP/DAC . 2001 , Yokohama, Japan. pp. 373 – 378 .
  • Ding , L , Blaauw , D and Mazumder , P . 2003 . “Accurate crosstalk noise modeling for early signal integrity analysis” . IEEE Trans. Computer-Aided Desi. , 22 : 627 – 634 .
  • Kahng , AB , Muddu , S and Vidhani , D . 1999 . Noise and delay uncertainty studies for coupled RC interconnections . IEEE Int. ASIC/SOC Conf . 1999 , Washington D.C., USA. pp. 3 – 8 .
  • Kuhlmann , M , Sapatnekar , SS and Parhi , KK . 1999 . Efficient crosstalk estimation . International Conference on Computer Design (ICCD'99) . 1999 , Austin, TX, USA. pp. 266 – 272 .
  • Levy , R , Blaauw , D , Braca , G , Dasgupta , A , Grinshpon , A , Oh , C , Orshav , B , Sirichotiyakul , S and Zolotov , V . 2002 . Clarinet: a noise analysis tool for deep submicron design . Proc. Int. Conf. Computer-Aided Design . 2002 , San Jose, CA, USA. pp. 587 – 594 .
  • Nakagawa , S , Sylvester , DM , McBride , J and S.-Y. Oh . 1998 . “On-chip crosstalk noise model for deep submicrometer ULSI interconnect” . H. P. J. , 49 : 39 – 45 .
  • Pillage , LT and Rohrer , RA . 1990 . “Asymptotic waveform evaluation for timing analysis” . IEEE Trans. Computer-Aided Desi. , 9 : 352 – 366 .
  • Qian , J , Pullela , S and Pillage , LT . 1994 . “Modeling the effective capacitance for the RC interconnect of CMOS gates” . IEEE Trans. Computer-Aided Desi. , 13 : 1526 – 1535 .
  • Sabet , PB and Ilponse , F . 2001 . A model for crosstalk evaluation in deep submicron processes . ISQED'2001 . 2001 , San Jose, CA, USA. pp. 139 – 144 .
  • Sato , T , Cao , Y , Agarwal , K , Sylvester , D and Hu , C . 2003 . “Bidirectional closed-form transformation between on-chip coupling noise waveforms and interconnect delay change curves” . IEEE Trans. Computer-Aided Desi. , 22 : 560 – 572 .
  • Vittal , A and Marek-Sadowska , M . 1997 . “Crosstalk reduction for VLSI” . IEEE Trans. Computer-Aided Desi. , 16 : 1817 – 1824 .

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.