258
Views
0
CrossRef citations to date
0
Altmetric
Original Articles

Application-specific coarse-grained reconfigurable array: architecture and design methodology

, , &
Pages 897-910 | Received 30 Jan 2013, Accepted 09 Oct 2013, Published online: 08 Aug 2014

References

  • Ansaloni, G., Bonzini, P., & Pozzi, L. (2011). EGRA: A coarse grained reconfigurable architectural template. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(6), 1062–1074. doi:10.1109/TVLSI.2010.2044667
  • Biswas, P., Banerjee, S., Dutt, N. D., Pozzi, L., & Ienne, P. (2006). ISEGEN: An iterative improvement-based ISE generation technique for fast customization of processors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14(7), 754–762. doi:10.1109/TVLSI.2006.878345
  • Brisk, P., Kaplan, A., & Sarrafzadeh, M. (2004, June 7–11). Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. In The 41st annual design automation conference, San Diego, CA (pp. 395–400). New York, NY: ACM.
  • Chalamalasetti, S. R., Purohit, S., Margala, M., & Vanderbauwhede, W. (2009, July). MORA – An architecture and programming model for a resource efficient coarse grained reconfigurable processor. In NASA/ESA conference on adaptive hardware and systems 2009, San Francisco, CA (pp. 389–396). IEEE Computer Society. doi:10.1109/AHS.2009.37
  • Dorigo, M., Maniezzo, V., & Colorni, A. (1996). Ant system: Optimization by a colony of cooperating agents. IEEE Transactions on Systems, Man and Cybernetics, Part B (Cybernetics), 26(1), 29–41. doi:10.1109/3477.484436
  • Ebeling, C., Cronquist, D., & Franklin, P. (1997, July 14–16). Configurable computing: The catalyst for high-performance architectures. In IEEE international conference on application-specific systems, architectures and processors, Zurich (pp. 364–372). IEEE Computer Society. doi:10.1109/ASAP.1997.606841
  • Fazlali, M., Fallah, M. K., Zolghadr, M., & Zakerolhosseini, A. (2009, March 16–18). A new datapath merging method for reconfigurable system. In The 5th international workshop on applied reconfigurable computing, Karlsruhe (pp. 157–168). Berlin Heidelberg: Springer.
  • Goldstein, S. C., Schmit, H., Budiu, M., Cadambi, S., Moe, M., & Taylor, R. R. (2000). Piperench: A reconfigurable architecture and compiler. IEEE Computer, 33(4), 70–77. doi:10.1109/2.839324
  • He, J. J., Liu, H. P., Wang, Z. F., Huang, X. M., & Zhang, K. (2012). High-speed low-power Viterbi decoder design for TCM decoders. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20(4), 755–759. doi:10.1109/TVLSI.2011.2111392
  • Lakshmi, B., & Dhar, A. S. (2013). VLSI architecture for parallel radix-4 CORDIC. IMicroprocessors and Microsystems, 37(1), 79–86. doi:10.1016/j.micpro.2012.12.001
  • Lee, H. Y., & Park, I. C. (2007). Balanced binary-tree decomposition for area-efficient pipelined FFT processing. IEEE Transactions on Circuits and Systems I: Regular Papers, 54(4), 889–900. doi:10.1109/TCSI.2006.888764
  • Liang, C., & Huang, X. (2010). Mapping parallel FFT algorithm onto smart-cell coarse-grained reconfigurable architecture. IEICE Transactions on Electronics, E93-C(3), 407–415. doi:10.1587/transele.E93.C.407
  • Mei, B., Vernalde, S., Verkest, D., Man, H. D., & Lauwereins, R. (2003, September 1–3). ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix. In The 13th international conference on field programmable logic and application, Lisbon (pp. 61–70). Berlin Heidelberg: Springer.
  • Oh, T., Egger, B., Park, H., & Mahlke, S. (2009, June 19–20). Recurrence cycle aware modulo scheduling for coarse-grained reconfigurable architectures. In ACM SIGPLAN/SIGBED 2009 conference on languages, compilers, and tools for embedded systems, Dublin (pp. 21–30). New York, NY: ACM. doi:10.1145/1543136.1542456
  • Thomas, A., Ruckauer, M., & Becker, J. (2011, September 12–15). HoneyComb: A multi-grained dynamically reconfigurable runtime adaptive hardware architecture. In 2011 IEEE SOC conference, Hilton Santa Clara, CA (pp. 335–340). IEEE Computer Society. doi:10.1109/SOCC.2011.6085115
  • Xiao, C., & Casseau, E. (2012). Exact custom instruction enumeration for extensible processors. INTEGRATION, the VLSI Journal, 45(3), 263–270. doi:10.1016/j.vlsi.2011.11.011
  • Zhou, L., Liu, D. P., Zhang, B. T., & Liu, H. Z. (2013, March 25–27). Ant colony optimization for application mapping in coarse-grained reconfigurable array. In The 9th international symposium on applied reconfigurable computing, ARC 2013, Los Angeles, CA (pp. 219). Berlin Heidelberg: Springer.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.