210
Views
0
CrossRef citations to date
0
Altmetric
Research Articles

A 40-nm low-power WiFi SoC with clock gating and power management strategy

ORCID Icon, ORCID Icon &
Pages 1633-1651 | Received 12 Oct 2021, Accepted 03 Jul 2022, Published online: 09 Sep 2022

References

  • Chung, Y.-H., Chen, M., Hong, W.-K., Lai, J.-W., Wong, S.-J., Kuan, C.-W., Chien, G. … Chien, G. (2012). A 4-in-1 (WiFi/bt/fm/gps) connectivity SoC with enhanced co-existence performance in 65nm CMOS. In 2012 IEEE International Solid-State Circuits Conference (pp. 172–174).
  • Day, M. (2002). Understanding low drop out (LDO) regulators. Texas Instruments, Dallas, 16.
  • Deng, Z., Lu, E., Rostami, E., Sieh, D., Papadopoulos, D., & Huang, B., Chen R, Wang H, Hsu WH, Wu CH, Shanaa O. (2016). A dual-band digital-WiFi 802.11 a/b/g/n transmitter SoC with digital I/Q combining and diamond profile mapping for compact die area and improved efficiency in 40nm CMOS. In 2016 IEEE International Solid-State Circuits Conference (ISSCC) (pp. 172–173).
  • Guo, H., Chan, T. F., Lai, Y. T., Wan, K. C., Chen, L., & Wong, W. P. (2020). 30.3 a saw-less nb-iot rf transceiver with hybrid polar and on-chip switching pa supporting power class 3 multi-tone transmission. In 2020 ieee international solid- state circuits conference - (isscc) (pp. 464–466).
  • Hung, W.-L., Link, G. M., Xie, Y., Vijaykrishnan, N., Dhanwadaf, N., & Conner, J. (2005). Temperature-Aware voltage islands architecting in system-on-chip design. In 2005 Interna- tional Conference on Computer Design (pp. 689–694).
  • Jan, C.-H., Agostinelli, M., Buehler, M., Chen, Z.-P., Choi, S.-J., & Curello, G., Deshpande H, Gannavaram S, Hafez W, Jalan U, Kang M. (2009). A 32nm SoC platform technology with 2 nd generation high-k/metal gate transistors optimized for ultra low power, high performance, and high density product applications. In 2009 IEEE International Electron Devices Meeting (IEDM) (pp. 1–4).
  • Jeong, M., Lee, O., & Kim, S.-W. (2017). WLAN transceiver for 802.11 a/b/g/n/ac with integrated power amplifier and harmonic LO frequency VCO. In 2017 International SoC Design Conference (ISOCC) (pp. 81–82).
  • Jin, H., Kim, D., & Kim, B. (2017). Efficient digital quadrature transmitter based on IQ cell sharing. IEEE Journal of Solid-State Circuits, 52(5), 1345–1357. https://doi.org/10.1109/JSSC.2017.2655058
  • Lakdawala, H., Schaecher, M., Fu, C.-T., Limaye, R., Duster, J., & Tan, Y., Balankutty A, Alpman E, Lee CC, Nguyen KM, Lee HJ. A 32 nm SoC with dual core ATOM processor and RF WiFi transceiver. (2012a). IEEE Journal of Solid-State Circuits, 48(1), 91–103. https://doi.org/10.1109/JSSC.2012.2222812
  • Lakdawala, H., Schaecher, M., Fu, C.-T., Limaye, R., Duster, J., & Tan, Y., Balankutty A, Alpman E, Lee C, Suzuki S, Carlton B.(2012b). 32nm x86 OS-compliant PC on-chip with dual-core Atom® processor and RF WiFi transceiver. In 2012 IEEE International Solid-State Circuits Conference (pp. 62–64).
  • Lee, C. P., Behzad, A., Marholev, B., Magoon, V., Bhatti, I., Li, D., Zhao, Z. … Zhao, Z. (2010). A multistandard, multiband SoC with integrated BT, FM, WLAN radios and integrated power amplifier. In 2010 IEEE International Solid-State Circuits Conference - (ISSCC) (pp. 454–455).
  • Lee, J., Han, J., Lo, C.-L., Lee, J., Kim, W., & Kim, S., Kang B, Han J, Jung S, Nomiyama T, Lee J. NB-IoT and GNSS all-in-one system-on-chip integrating RF transceiver, 23-dBm CMOS power amplifier, power management unit, and clock management system for low cost solution. (2020). IEEE Journal of Solid-State Circuits, 55(12), 3400–3413. https://doi.org/10.1109/JSSC.2020.3012742
  • Lee, I.-G., Kim, D. B., Choi, J., Park, H., Lee, S.-K., Cho, J., & Yu, H. (2021). WiFi HaLow for long-range and low-power internet of things: system on chip development and performance evaluation. IEEE Communications Magazine, 59(7), 101–107. https://doi.org/10.1109/MCOM.001.2000815
  • Li, S., Breiholz, J., Kamineni, S., Im, J., Wentzloff, D. D., & Calhoun, B. H. (2020). An 85 nW IoT node-controlling SoC for MELs power-mode management and phantom energy reduction. In 2020 IEEE International Symposium on Circuits and Systems (ISCAS) (pp. 1–5).
  • Li, X., Zhang, W., Li, H., Xie, R., Chen, M., Shen, G., & Xu, D. (2011). Power management unit with its control for a three-phase fuel cell power system without large electrolytic capacitors. IEEE Transactions on Power Electronics, 26(12), 3766–3777. https://doi.org/10.1109/TPEL.2011.2162533
  • Lin, J. (2005). A low-phase-noise 0.004-ppm/step DCXO with guaranteed monotonicity in the 90-nm CMOS process. IEEE Journal of Solid-State Circuits, 40(12), 2726–2734. https://doi.org/10.1109/JSSC.2005.856580
  • Lu, C., Wang, H., Peng, C., Goel, A., Son, S., Liang, P., Chien, G. … Chien, G. (2013). A 24.7 dBm all-digital RF transmitter for multimode broadband applications in 40nm CMOS. In 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers (pp. 332–333).
  • Patel, D. R., Patel, P. V., Soni, M. B., & Ranpura, M. N. (2014). Low power SOC design Techniques.
  • Rad, R. E., Choi, S., Kim, S., Rikan, B. S., & Lee, K.-Y. (2021). A 2-ghz reconfigurable transmitter using a class-d pa and a multi-tapped transformer. In 2021 18th international soc design conference (isocc) (pp. 31–32).
  • Ramakrishna, R. M. (2014). Design techniques for ultra-low noise and low power low dropout (LDO) regulators. Arizona State University.
  • Ramanna, V. K., Sheth, J., Liu, S., & Dezfouli, B. (2021). Towards understanding and enhancing association and long sleep in low-power WiFi IoT systems. IEEE Transactions on Green Communications and Networking, 5(4), 1833–1845. https://doi.org/10.1109/TGCN.2021.3085908
  • Tan, Y., Duster, J., Fu, C.-T., Alpman, E., Balankutty, A., & Lee, C., Ravi A, Pellerano S, Chandrashekar K, Kim H, Carlton B. (2012). A 2.4 GHz WLAN transceiver with fully-integrated highly-linear 1.8 V 28.4 dBm PA, 34dbm T/R switch, 240ms/s DAC, 320ms/s ADC, and DPLL in 32nm SoC CMOS. In 2012 Symposium on VLSI Circuits (VLSIC) (pp. 76–77).
  • Tseng, P., Yang, W., Wu, M., Jin, L., Li, D., Low, E., Dehng, G. … Dehng, G. (2019). A 55nm saw-less nb-iot cmos transceiver in an rf-soc with phase coherent rx and polar modulation tx. In 2019 IEEE radio frequency integrated circuits symposium (rfic) (pp. 267–270).
  • Van Den Homberg, J. A. (1999). A universal 0.03-mm/sup 2/one-pin crystal oscillator in CMOS. IEEE Journal of Solid-State Circuits, 34(7), 956–961. https://doi.org/10.1109/4.772410
  • VanDervoorn, P., Agostinelli, M., Choi, S.-J., Curello, G., Deshpande, H., & El-Tanani, M., Hafez W, Jalan U, Janbay L, Kang M, Koh KJ. (2010). A 32nm low power RF CMOS SOC technology featuring high-k/metal gate. In 2010 Symposium on VLSI Technology (pp. 137–138).
  • Winoto, R., Olyaei, A., Hajirostam, M., Lau, W., Gao, X., & Mitra, A., Carnu O, Godoy P, Tee L, Li H, Erdogan E. (2016). A 2× 2 WLAN and Bluetooth combo SoC in 28nm CMOS with on-chip WLAN digital power amplifier, integrated 2G/BT SP3T switch and BT pulling cancelation. In 2016 IEEE International Solid-State Circuits Conference (ISSCC) (pp. 170–171).
  • Wu, T.-F., & Chen, Y.-K. (1998). Modeling PWM DC/DC converters out of basic converter units. IEEE Transactions on Power Electronics, 13(5), 870–881. https://doi.org/10.1109/63.712294
  • Wu, C., Chen, T.-M., Hong, W.-K., Shen, C.-H., Hsu, J.-L., Tsai, J.-C., Chien, G. … Chien, G. (2013). A 60nm WiFi/bt/gps/fm combo connectivity SoC with integrated power amplifiers, virtual SP3T switch, and merged WiFi-BT transceiver. In 2013 IEEE Radio Frequency Integrated Circuits Symposium (RFIC) (pp. 129–132).
  • Ye, L., Chen, J., Kong, L., Alon, E., & Niknejad, A. M. (2013). Design considerations for a direct digitally modulated WLAN transmitter with integrated phase path and dynamic impedance modulation. IEEE Journal of Solid-State Circuits, 48(12), 3160–3177. https://doi.org/10.1109/JSSC.2013.2281142

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.