1,997
Views
84
CrossRef citations to date
0
Altmetric
Review article

A review of yield modelling techniques for semiconductor manufacturing

, , , , &
Pages 5019-5036 | Received 01 Jan 2006, Published online: 22 Feb 2007

References

  • Balasubramaniam , S , Sarwar , AK and Walker , DMH . 1997 . Yield learning in integrated circuit package assembly . IEEE Trans. Compon. Packag. Manuf. Technol. C , 20 ( 2 ) : 133 – 141 .
  • Boning , D , Chung , J , Ouma , D and Divecha , R . 1997 . Spatial variation in semiconductor processes: modeling for control . Proceedings of the Second International Symposium on Process Control, Diagnostics, and Modelling in Semiconductor Manufacturing . May 1997 , Montreal. pp. 72 – 83 .
  • Boning , D and Chung , J . 1998 . Statistical metrology–measurement and modeling of variation for advanced process development and design rule generation . AIP Conf. Proc , : 395 – 404 .
  • Butler , SW . 1995 . Process control in semiconductor manufacturing . J. Vac. Sci. Technol. B , 13 ( 4 ) : 1917 – 1923 .
  • Chatterjee , A , Nurani , RK , Seshadri , S and Shanthikumar , JG . 1997 . Role of yield management in fabless-foundry partnerships . IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings . 1997 . pp. 31 – 34 .
  • Chaudhry , N , Moyne , J and Rundensteiner , EA . 1998 . Active controller: utilising active databases for implementing multistep control of semiconductor manufacturing . IEEE Trans. Compon. Packag. Manuf. Technol. C , 21 ( 3 ) : 217 – 224 .
  • Chen , H , Harrison , JM , Mandelbaum , A and Wein Lawrence , M . 1988 . Empirical evaluation of a queueing network model for semiconductor wafer fabrication . Oper. Res. , 36 ( 2 ) : 202 – 215 .
  • Chung , K and Sang , CP . 2000 . A machine learning approach to yield management in semiconductor manufacturing . Int. J. Prod. Res. , 38 ( 17 ) : 4261 – 4271 .
  • Cunningham , JA . 1990 . The use and evaluation of yield models in integrated circuit manufacturing . IEEE Trans. Semicon. Manuf. , 3 ( 2 ) May : 60 – 71 .
  • Cunningham , SP , Spanos , CJ and Voros , K . 1995 . Semiconductor yield improvement: results and best practices . IEEE Trans. Semicon. Manuf. , 8 ( 2 ) : 103 – 109 .
  • Del Castillo , E . 2002 . Statistical process adjustment for quality control , New York, NY : Wiley-Interscience .
  • Doniavi , A , Mileham , AR and Newnes , LB . 1996 . A systems approach to modelling in the manufacturing environment . Proceedings of the 12th National Conference on Manufacturing Research . September 1996 , Bath. pp. 111 – 115 .
  • Doniavi , A , Mileham , AR and Newnes , LB . 2000 . A systems approach to photolithography process optimisation in an electronics manufacturing environment . Int. J. Prod. Res. , 38 ( 11 ) : 2515 – 2528 .
  • Durbeck , D , Chern , JH and Boning , D . 1993 . A system for semiconductor process specification . IEEE Trans. Semicon. Manuf. , 6 November : 297 – 305 .
  • Edgar , TF , Campbell , WJ and Bode , C . 1999 . “ Model-based control in microelectronics manufacturing ” . In Proceedings of the 38th IEEE Conference on Decision and Control 4185 – 4191 .
  • Ferris-Prabhu , AV , Smith , LD , Bonges , HA and Paulsen , JK . 1987 . Radial yield variations in semiconductor wafers . IEEE Circuits Devices Mag. , 3 March : 42 – 47 .
  • Ferris-Prabhu , AV . 1992 . On the assumptions contained in semiconductor yield models . IEEE Trans. CAD Integ. Circuits Syst. , 11 ( 8 ) : 966 – 975 .
  • Flack , FV . 1985 . Introducing dependency into IC yield models . Solid-State Electron. , 28 ( 6 ) : 555 – 559 .
  • Gandemer , S , Tremintin , BC and Charlot , JJ . 1988 . Critical area and critical levels calculation in I.C. yield modeling . IEEE Trans. Electron. Dev. , 35 ( 2 ) : 158 – 166 .
  • Gardner , RM , Bieker , J and Elwell , S . 2000 . Solving tough semiconductor manufacturing problems using data mining . IEEE/SEMI Advanced Semiconductor Manufacturing Conference and Workshop . 2000 . pp. 46 – 55 .
  • Guo , HF , Spanos , CJ and Miller , AJ . 1991 . Real time statistical process control for plasma etching . IEEE/SEMI International Semiconductor Manufacturing Science Symposium . 1991 . pp. 113 – 118 .
  • Gupta , A , Porter , WA and Lathrop , JW . 1974 . Defect analysis and yield degradation of integrated circuits . IEEE J. Solid-State Circuits , 9 ( 3 ) : 96 – 102 .
  • Harvey , EH , Mastrangelo , CM and White , KP . 2005 . Evaluation of spatial randomness model for yield analysis . IEEE Trans. Semicon. Manuf , (submitted)
  • Hess , C and Weiland , LH . 1999 . Extraction of wafer-level defect density distributions to improve yield prediction . IEEE Trans. Semicon. Manuf. , 12 ( 2 ) : 175 – 183 .
  • Horton , D . 1998 . Modeling the yield of mixed-technology die . Solid State Tech. , 41 ( 9 ) September : 109 – 119 .
  • Khan , K , Solakhain , V , Ricci , A , Gu , T and Moyne , J . 1998 . Run-to-run control of ITO deposition process . Proceedings of SID , 29 May : 536 – 539 .
  • Koren , I and Koren , Z . 1998 . Defect tolerance in VLSI circuits: techniques and yield analysis . Proc. IEEE , 86 ( 9 ) : 1819 – 1838 .
  • Kristoff , P and Nunn , D . 1995 . The process specification system for MMST . IEEE Trans. Semicon. Manuf. , 8 August : 262 – 271 .
  • Leachman , RC . 1993 . The competitive semiconductor manufacturing survey . IEEE International Symposium on Semiconductor Manufacturing Conference . 1993 . pp. 0_359 – 0_381 .
  • Lee , F , Wang , P and Goodner , R . 1995 . Factory start-up and production ramp: yield improvement through signature analysis and visual/electrical correlation . IEEE/SEMI Proceedings Advanced Semiconductor Manufacturing Conference and Workshop . November 1995 . pp. 267 – 270 .
  • Lee , SF , Boskin , ED , Hao , CL , Wen , EH and Spanos , CJ . 1995 . RTSPC: A software utility for real-time SPC and tool data analysis . IEEE Trans. Semicon. Manuf. , 8 ( 1 ) February : 17 – 25 .
  • Lee , SF and Spanos , CJ . 1995 . Prediction of wafer state after plasma processing using real-time tool data . IEEE Trans. Semicon. Manuf. , 8 ( 3 ) : 252 – 261 .
  • Longtin , MD , Wein , LM and Welsch , RE . 1996 . Sequential screening in semiconductor manufacturing. I: exploiting spatial dependence . Oper. Res. , 44 ( 1 ) : 173 – 195 .
  • Mallory , CL , Perloff , DS , Hasan , TF and Stanley , RM . 1983 . Spatial yield analysis in integrated circuit manufacturing . Solid State Technol. , 26 November : 121 – 127 .
  • Maynard , D , Bombardier , S , Cavanaugh , A and Zwonik , R . 1999 . “ Modeling and optimisation of wafer radial yield ” . In IEEE/SEMI Advanced Semiconductor Manufacturing Conference and Workshop 71 – 75 .
  • Moyne , J , Telfeyan , R , Hurwitz , A and Taylor , J . 1995 . A process-independent run-to-run controller and its application to chemical-mechanical planarisation . IEEE/SEMI Advanced Semiconductor Manufacturing Conference and Workshop . 1995 . pp. 194 – 200 .
  • Moyne , J , Del Castillo , E and Hurwitz , AM . 2000 . Run-to-Run Control in Semiconductor Manufacturing , Florida : CRC Press .
  • Murphy , B . 1964 . Cost-size optima of monolithic integrated circuits . Proc. IEEE , 52 ( 12 ) : 1537 – 1545 .
  • Newnes , LB , Mileham , TR and Doniavi , A . 2001 . A systems approach to semiconductor optimisation . IEEE Trans. Electron. Packag. Manuf. , 24 ( 3 ) : 171 – 177 .
  • Nurani , RK , Akella , R and Strojwas , AJ . 1996 . In-line defect sampling methodology in yield management: an integrated framework . IEEE Trans. Semicon. Manuf. , 9 ( 4 ) : 506 – 517 .
  • Nurani , RK , Strojwas , AJ , Maly , WP , Ouyang , C , Shindo , W , Akella , R , McIntyre , MG and Derrett , J . 1998 . In-line yield prediction methodologies using patterned wafer inspection information . IEEE Trans. Semicon. Manuf. , 11 ( 1 ) February : 40 – 47 .
  • Okabe , T , Nagata , M and Shimada , S . 1972 . Analysis of yield of integrated circuits and a new expression of the yield . Elect. Eng. in Japan , 92 : 135 – 141 .
  • Peikert , A , Thoma , J and Brown , S . 1998 . Rapid modeling technique for measurable improvements in factory performance . IEEE Winter Simul. Conf. Proc. , 2 : 1011 – 1015 .
  • Price , JE . 1970 . A new look at yield of integrated circuits . Proc. IEEE , 58 August : 1290 – 1291 .
  • Quirk , M and Serda , J . 2001 . Semiconductor Manufacturing Technology , New Jersey : Prentice Hall .
  • Radojcic , R and Rencher , M . 2000 . Old rules no longer apply (what has yield got to do with IC design?) . EE Times , (submitted)
  • Sack , EA . 1998 . Global yield engineering for IC production . Solid State Tech. , 41 ( 12 ) : 81 – 85 .
  • Seeds , RB . 1967 . Yield and cost analysis of bipolar LSI . IEEE International Electron Devices Meeting , : 12
  • Skinner , KR , Montgomery , DC , Runger , GC , Fowler , JW , McCarville , DR , Rhoads , TR and Stanley , JD . 2002 . Multivariate statistical methods for modeling and analysis of wafer probe test data . IEEE Trans. Semicon. Manuf. , 15 ( 4 ) : 523 – 530 .
  • Stapper , CH . 1976 . LSI yield modeling and process monitoring . IBM J. Res. Dev. , 20 ( 3 ) : 228 – 234 .
  • Stapper , CH . 1985 . The effects of wafer to wafer defect density variations on integrated circuit defect and fault distributions . IBM J. Res. Dev. , 29 ( 1 ) : 87 – 97 .
  • Stapper , CH . 1989 . Fact and fiction in yield modeling . Microelectron. J. , 20 ( 1/2 ) : 129 – 151 .
  • Stapper , CH . 1991 . On Murphy's yield integral . IEEE Trans. Semicon. Manuf. , 3 ( 2 ) : 294 – 298 .
  • Stapper , CH and Rosner , RJ . 1995 . Integrated circuit yield management and yield analysis: development and implementation . IEEE Trans. Semicon. Manuf. , 8 ( 2 ) : 95 – 102 .
  • Spanos , CJ . 1992 . Statistical process control in semiconductor manufacturing . Proc. IEEE , 80 ( 6 ) June : 819 – 830 .
  • Teets , D . 1996 . A model for radial yield degradation as a function of chip size . IEEE Trans. Semicon. Manuf. , 9 ( 3 ) : 467 – 471 .
  • Thompson , KM . 1996 . Intel and the myths of test . IEEE Des. Test Comput. , 13 ( 1 ) : 79 – 81 .
  • Tobin , KW and Karnowski , TP . 2005 . Technology considerations for future semiconductor data management systems . Semicon. Fabtech , 12 ( 1 ) : 57 – 63 .
  • Uzsoy , R , Lee , C and Martin-Vega , LA . 1992 . A review of production planning and scheduling models in the semiconductor industry. Part I: system characteristics, performance evaluation and production planning . IIE Trans. , 24 ( 4 ) : 47 – 60 .
  • Van Zant , P . 2004 . Microchip Fabrication: A Practical Guide to Semiconductor Processing , New York, NY : McGraw-Hill .
  • Walker , H . 1987 . Yield Simulation for Integrated Circuits , Boston, MA : Kluwer Academic Publishers .
  • Wang , J and Spanos , JC . A novel approach for modeling and diagnostics of lithography process . AEC/APC XII Symposium 2001 . (submitted)
  • Wolf , S . 2003 . Microchip Manufacturing , California : Lattice Press .
  • Wong , AY . 1996 . Statistical micro yield modeling . Semicon. Int. , 19 ( 12 ) : 139 – 148 .

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.