35
Views
8
CrossRef citations to date
0
Altmetric
Original Articles

Design of an adjustable‐way cache for energy reduction

&
Pages 691-700 | Received 27 Nov 2003, Accepted 22 Nov 2004, Published online: 04 Mar 2011

References

  • Albonesi , D. H. “Selective Cache Ways: On‐Demand Cache Resource Allocation,” . Proceedings of the 32nd Annual International Symposium on Microarchitecture . pp. 248 – 259 . Haifa, , Israel : Dan Carmel Hotel .
  • Argawal , A. , Hennessy , J. and Horowitz , M. 1988 . “Cache Performance of Operating System and Multiprogramming Workloads,” . ACM Transactions on Computer Systems , 6 ( 4 ) : 393 – 431 .
  • Chen , H. C. and Chiang , J. S. “Design of An Adjustable‐way Set‐associative Cache,” . Proceedings of the IEEE Pacific Rim Conference on Communication, Computers and Signal Processing . Vol. 1 , pp. 315 – 318 . Victoria, , Canada : University of Victoria .
  • Chen , H. C. , Chiang , J. S. and Lin , Y. S. “A Fast Sequential MRU Cache with Competitive Hardware Cost,” . Proceedings of the 2nd International Conference on Parallel and Distributed Computing, Application and Technologies . pp. 220 – 227 . Taipei, , Taiwan : Tamkang University .
  • Dean , J. , Hicks , J. E. , Waldspurger , C. A. , Weihl , W. E. and Chrysos , G. “ProfileMe: Hardware Support for Instruction‐level Profiling in Out‐of‐Order Processors,” . Proceedings of the 30th International Symposium on Microarchitecture . pp. 292 – 302 . Research Triangle Park, NC, , USA
  • Hill , M. 1988 . “A Case for Direct‐mapped Caches,” . IEEE Computer , 21 ( 12 ) : 25 – 40 .
  • Hwang , K. 1993 . Advanced Computer Architecture‐Parallelism, Scalability, Programmability , New York, , USA : The McGraw‐Hill Companies, Inc. .
  • Inoue , K. , Ishihara , T. and Murakami , K. 2000 . “A High‐performance and Low‐power Cache Architecture with Speculative Way‐Selection,” . IEICE Transactions on Electron , E83‐C ( 2 ) : 186 – 193 .
  • Kamble , M. B. and Ghose , K. “Analytical Energy Dissipation Models for Low Power Caches,” . Proceedings of 1997 International Symposium on Low Power Electronics and Design . Monterey, CA, USA. pp. 143 – 148 .
  • Kim , H. S. , Vijaykrishnan , N. , Kandemir , M. and Irwin , M. J. “Multiple Access Caches: Energy Implications,” . Proceedings of 2000 IEEE Computer Society Workshop on VLSI . Orlando, FL, USA. pp. 53 – 58 .
  • Kin , J. , Gupta , M. and Mangione‐Smith , W. H. “The Filter Cache: An Energy Efficient Memory Structure,” . Proceedings of the 30th Annual International Symposium on Microarchitecture . Research Triangle Park, NC, USA. pp. 184 – 193 .
  • Ko , U. and Balsara , P. T. “Characterization and Design of A Low‐power, High‐performance Cache Architecture,” . Proceedings of 1995 International Symposium on VLSI Technology Systems, and Applications . Taipei, Taiwan. pp. 235 – 238 .
  • Ma , A. , Zhang , M. and Asanović , K. “Way Memorization to Reduce Fetch Energy in Instruction Caches,” . Proceedings of the 28th ISCA Workshop on Complexity Effective Design . Goteborg, Sweden. pp. 1 – 9 .
  • Mano , M. M. 1993 . Computer System Architecture, Prentice‐Hall International Editions, , 3rd ed. , Englewood Cliffs, NJ, , USA : Prentice Hall International, Inc. .
  • Sites , R. L. and Agarwal , A. “Multiprocessor Cache Analysis using ATUM,” . Proceedings of the 15th Annual International Symposium on Computer Architecture . Honolulu, HI, USA. pp. 186 – 195 .
  • Smith , A. J. 1982 . “Cache Memories,” . Computing Surveys , 14 ( 4 ) : 473 – 530 .
  • Su , C. and Despain , A. “Cache Design Tradeoffs for Power and Performance Optimization: A Case Study,” . Proceedings of the International Symposium on Low Power Electronics and Design . Dana Point, CA, USA. pp. 63 – 68 .
  • Wilton , S. J. E. and Jouppi , N. P. 1996 . “CACTI: An Enhancement Cache Access and Cycle Time Model,” . IEEE Journal of Solid‐State Circuits , 31 ( 5 ) : 677 – 688 .
  • Witchel , E. and Asanović , K. “The Span Cache: Software Controlled Tag Checks and Cache Line Size,” . Proceedings of the 28th ISCA Workshop on Complexity Effective Design . Goteborg, Sweden. pp. 1 – 12 .
  • Zhang , C. , Vahid , F. and Najjar , W. “A Highly Configurable Cache Architecture for Embedded Systems,” . Proceedings of the 30th Annual International Symposium on Computer Architecture . San Diego, CA, USA. pp. 136 – 146 .
  • Zhang , C. , Zhang , X. and Yan , Y. 1997 . “Two Fast and High‐Associative Cache Schemes,” . IEEE Micro , 17 ( 5 ) : 40 – 49 .
  • Zhu , Z. and Zhang , X. 2002 . “Access‐mode Predictions for Low‐power Cache Design,” . IEEE Micro , 2 ( 2 ) : 58 – 71 .
  • Corresponding author. (Tel: 886–2–28282086; Email: [email protected])

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.