240
Views
4
CrossRef citations to date
0
Altmetric
Articles

Modeling and Fabrication Aspects of Cu- and Carbon Nanotube-Based Through-Silicon Vias

, & ORCID Icon

References

  • International Technology Roadmap for Semiconductors, 2011 [online]. http://public.itrs.net.
  • K. Banerjee, S. J. Souri, P. Kapur, and K. C. Saraswat, “3-D ics: A novel chip design for improving deep-sub micrometer interconnect performance and systems-on-chip integration,”Proc. IEEE, Vol. 89, no. 5, pp. 602–633, May 2001. doi: 10.1109/5.929647
  • Z. Xuand and J. –Q. Lu, “High-speed design and broadband modeling of through-strata-vias (TSVs) in 3D integration,” IEEE Trans. Comp Pack Manuf Technol, Vol. 1, no. 2, pp. 154–162, February 2011. doi: 10.1109/TCPMT.2010.2101693
  • J. Zheng, Z. Zhang, Y. Chen, and J. Shi, “3D stacked package technology and its application prospects”, Proc. IEEE Int. conf. New trends in information and service science (NISS ‘09), Beijing, pp. 528–533, Jul. 2009.
  • P. Christie and D. Stroobandt, “The interpretation and application of rent’s rule,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst, vol. 8, no. 6, pp. 639–648, Dec. 2000. doi: 10.1109/92.902258
  • Tanu Goyal, Manoj Kumar Majumder, and Brajesh Kumar Kaushik, “Propagation delay and power dissipation for different aspect ratio of single-walled carbon nanotube bundled TSV,” J Semiconduct, Vol. 36, no. 6, June 2015.
  • Yi-An Hsu, Chi-Hsuan Cheng, Yi- Chang Lu, and Tzong-Lin Wu, “An accurate and fast subsrate noise prediction method with octagonal TSV model for 3D ICs,” IEEE Trans. Electromagnet Compab, Vol. 99, issue, pp. 1–9, February 2017.
  • H. Li, C. Xu, N. Srivastava, and K. Banerjee, “Carbon nanomaterials for next-generation interconnects and passives: physics, status, and prospects,”IEEE Trans. Electron Devices, Vol. 56, no. 9, pp. 1799–1821, Sep. 2009. doi: 10.1109/TED.2009.2026524
  • J. –P. Cui, W. –S. Zhao, W. –Y. Yin, and J. Hu, “Signal transmission analysis of multilayer graphene nano-ribbon (MLGNR) interconnects,” IEEE Trans. Electromag.Compat., Vol. 54, no. 1, pp. 126–132, Feb. 2012. doi: 10.1109/TEMC.2011.2172947
  • L. L. W. Leung and K. J. Chen, “Microwave characterization and modeling of high aspect ratio through-wafer interconnect vias in silicon substrates,” IEEE Trans. Microwave Theory Techniques, Vol. 53, no. 8, pp. 2472–2480, Aug. 2005. doi: 10.1109/TMTT.2005.852782
  • C. Ryu, J. Lee, H. Lee, K. Lee, T. Oh, and J. Kim, “High frequency electrical model of through wafer via for 3-D stacked chip packaging,”Proc. IEEE Int. Conf. Electronics System Integration Technology, Dresden, Germany, pp. 215–220, 2006.
  • P. A. Thadesar and M. S. Bakir, “Novel photodefined polymer-embedded vias For silicon interposers,” J. Micromech. Microeng., Vol. 23, no. 3, pp. 035003-1–035003-6, Mar. 2013. doi: 10.1088/0960-1317/23/3/035003
  • C. Bermond, L. Cadix, A. Farcy, T. Lacrevaz, P. Leduc, and B. Flechet, “High frequency characterization and modeling of high density TSV in 3-D integrated circuits,” Proc.13th IEEE Workshop Signal Propagation Interconnects, Strasbourg, France, pp. 1–4,May 12–15, 2009.
  • K. J. Han, M. Swaminathan, and T. Bandyopadhyay, “Electromagnetic modeling of through-silicon via (tsv) interconnections using cylindrical modal basis functions,” IEEE Trans. Adv. Pack., Vol. 33, no. 4, pp. 804–817, Nov. 2010. doi: 10.1109/TADVP.2010.2050769
  • D. H. Kim, S. Mukhopadhyay, and S. K. Lim,“Fast and accurate analytical modeling of through-silicon-via capacitive coupling,” IEEE Trans.Comp Packag Manuf Technol, Vol. 1, no. 2, pp. 168–180, Feb. 2011. doi: 10.1109/TCPMT.2010.2101910
  • G. Katti, M. Stucchi, K. D. Meyer, and W. Dehaene, “Electrical modeling and characterization of through silicon via for three-dimensional ICs,” IEEE Trans. Electron Devices, Vol. 57, no. 1, pp. 256–262, Jan. 2010. doi: 10.1109/TED.2009.2034508
  • J. Kim, J. S. Pak, J. Cho, E. Song, J. Cho, H. Kim, T. Song, J. Lee, H. Lee, K. Park, S. Yang, M. –S. Suh, K. –Y. Byun, and J. Kim, “High-frequency scalable electrical model and analysis of through silicon via (TSV),” IEEE Trans. Comp Packag Manuf Technol, Vol. 1, no. 2, pp. 181–195, Feb 2011. doi: 10.1109/TCPMT.2010.2101890
  • T. –Y. Cheng, C. –D. Wang, Y. –P. Chiou, and T. –L. Wu, “A new model for through-silicon vias on 3-D IC using conformal mapping method,” IEEE Microw Wirel Comp Lett., Vol. 22, no. 6, pp. 303–305, June 2012. doi: 10.1109/LMWC.2012.2195776
  • D. –C. Yang, J. Xie, M. Swaminathan, X. –C. Wei, and E. –P. Li, “A rigorous model for through-silicon vias with ohmic contact in silicon interposer,” IEEE Microw Wirel Comp Lett., Vol. 23, no. 8, pp. 1–3, 2013. doi: 10.1109/LMWC.2013.2270459
  • P.A. Thadesar and M. S. Bakir, “Novel photo-defined polymer-enhanced through-silicon vias for silicon interposers,” IEEE Trans. Comp. Pack. Manuf. Technol, Vol. 3, no. 7, pp. 1130–1137, Jul. 2013. doi: 10.1109/TCPMT.2013.2261122
  • J. –P. Cui, W. –S. Zhao, W. –Y. Yin, and J. Hu, “Signal transmission analysis of multilayer graphene nano-ribbon (MLGNR) interconnects,”IEEE Trans. Electromagn. Compatibil., Vol. 54, no. 1, pp. 126–132, Feb. 2012. doi: 10.1109/TEMC.2011.2172947
  • A. Alam, M. K. Majumder, A. Kumari, V. R. Kumar, and B. K. Kaushik, “Performance analysis of single- and multi-walled carbon nanotube based through silicon vias,” Proc. 65th Electron. Compon. Technol. Conf. (ECTC), pp. 1834–1839, May 2015.
  • A. Naeemi and J. D. Meindl, “Design and performance modeling for single-walled carbon nanotubes as local, semiglobal, and global interconnects in gigascale integrated systems,” IEEE Trans. Electron Devices, Vol. 54, no. 1, pp. 26–37, Jan. 2007. doi: 10.1109/TED.2006.887210
  • M. K. Majumder, N. D. Pandya, B. K. Kaushik, and S. K. Manhas, “Analysis of MWCNT and bundled SWCNT interconnects: impact on crosstalk and area,” IEEE Electron Device Lett., Vol. 33, no. 8, pp. 1180–1182, Aug. 2012. doi: 10.1109/LED.2012.2200872
  • C. Xu, H. Li, R. Suaya, and K. Banerjee, “Compact AC modeling and performance analysis of through-silicon vias in 3-D ICs,” IEEE Trans. Electron Devices, Vol. 57, no. 12, pp. 3405–3417, Dec. 2010. doi: 10.1109/TED.2010.2076382
  • S. Kannan, B. Kim, A. Gupta, S. –H. Noh, and L. Li, “Characterization of high performance CNT-based TSV for high-frequency RF applications,” Adv. Mat. Res., Vol. 1, no. 1, pp. 37–49, Feb. 2012. doi: 10.12989/amr.2012.1.1.037
  • W. –S. Zhao, W. –Y. Yin, and Y. –X. Guo, “Electromagnetic compatibility-oriented study on through silicon single-walled carbon nanotube bundle via (TS-SWCNTBV) arrays,” IEEE Trans. Electromagn. Compatibil., Vol. 54, no. 1, pp. 149–157, Feb 2012. doi: 10.1109/TEMC.2011.2167336
  • B. Safieldeen, H. Mostafa, H. Abdelhamid, and Y. Ismail, “A comparative evaluation of single-walled carbon nanotubes and copper in interconnects and through-silicon vias,” IEEE International Conference on Electronics Circuits and Systems (ICECS), 2015.
  • J. Zheng, Z. –Q.Su, G. –Y. Wang, M. Li, W. –S. Zhao, and G. Wang, “Circuit modeling of Cu/CNT composite through-silicon vias (TSV)” Proc. IEEE MTT-S International Microwave Workshop Series on Advanced Materials and Processes for RF and THz Applications (IMWS-AMP), Suzhou, China, pp. 1–3, 2015.
  • B. K. Kaushik, M. K. Majumder, and V. R. Kumar, “Carbon nanotube based 3-D interconnects – A reality or a distant dream,” IEEE Circuits Syst. Mag., Vol. 14, no. 4, pp. 16–35, November, 2014. doi: 10.1109/MCAS.2014.2360787

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.