Publication Cover
Numerical Heat Transfer, Part A: Applications
An International Journal of Computation and Methodology
Volume 59, 2011 - Issue 11
222
Views
7
CrossRef citations to date
0
Altmetric
Original Articles

Compact Thermal Model for the Transient Temperature Prediction of a Water-Cooled Microchip Module in Low Carbon Emission Computing

, , , &
Pages 815-835 | Received 21 Jan 2011, Accepted 14 Mar 2011, Published online: 08 Jun 2011

REFERENCES

  • IDC Corporate USA, Farmingham, MA, # 201722, The Impact of Power and Cooling on the Datacenter Infrastructure , 2006 .
  • C. Lasance , Ten Years of Boundary-Condition-Independent Compact Thermal Modeling of Electronic Parts: A Review , Heat Transfer Eng. , vol. 29 , no. 2 , pp. 149 – 168 , 2008 .
  • P. Li , L. T. Pileggi , M. Asheghi , and R. Chandra , Efficient Full-Chip Thermal Modeling and Analysis , Proc. ICCAD , pp. 319 – 326 , 2004 .
  • W. Huang , S. Ghosh , S. Velusamy , K. Sankaranarayanan , K. Skadron , and M. R. Stan , HotSpot: A Compact Thermal Modeling Methodology for Early-Stage VLSI Design , IEEE Trans. on Very Large Scale Integration (VLSI) Systems , vol. 14 , no. 5 , p. 501 , 2006 .
  • W. Huang , K. Sankaranarayanan , K. Skadron , R. J. Ribando , and M. R. Stan , Accurate, Pre-RTL Temperature-Aware Design Using a Parameterized, Geometric Thermal Model , J. IEEE Trans. on Computers , vol. 57 , no. 9 , pp. 1277 – 1288 , 2008 .
  • D. Fetis and P. Michaud , An Evaluation of Hotspot-3.0 Block-Based Temperature Model, Proc. WDDD, In Conjunction with ISCA, available at <http://www.irisa.fr/caps/people/michaud/hs.pdf> (accessed September 2010 ).
  • R. Gupta and V. Eswaran , Integrating Compact Thermal Models in CFD Simulations of Electronic Packages, J. Electronic Packaging , vol. 130, pp. 021002–1–9, 2008.
  • R. Wälchli , T. Brunschwiler , B. Michel , and D. Poulikakos , Combined Local Microchannel-Scale CFD Modeling and Global Chip Scale Network Modeling for Electronics Cooling Design , Int. J. Heat Mass Transfer , vol. 53 , pp. 1004 – 1014 , 2010 .
  • A. Sridhar , A. Vincenzi , M. Ruggiero , T. Brunschwiler , and D. Atienza , 3D-ICE: Fast Compact Transient Thermal Modeling for 3D ICs with Inter-tier Liquid Cooling , Proc. Int. Conf. Computer-Aided Design (ICCAD 2010) , vol. 1 , no. 1 , pp. 463 – 470 , 2010 .
  • G. I. Meijer , Cooling Energy-Hungry Data Centers , Science , vol. 328 , pp. 318 – 319 , 2010 .
  • E. G. Colgan , B. Furman , M. Gaynes , W. S. Graham , N. C. LaBianca , J. H. Mageklein , R. J. Polastre , M. B. Rothwell , R. J. Bezama , R. Choudhaky , K. C. Marston , H. Toy , J. Wakil , J. A. Zitz , R. R. Schmidt , A Practical Implementation of Silicon Microchannel Coolers for High Power Chips , IEEE Trans. on Components and Packaging Technologies , vol. 30 , no. 2 , pp. 218 – 225 , 2007 .
  • D. B. Tuckerman and R. F. W. Pease , High-Performance Heat Sinking for VLSI , Electron Device Lett. , vol. 2 , no. 5 , pp. 126 – 129 , 1981 .
  • et al. ., Experimental Investigation of an Ultra-Thin Manifold Microchannel Heat Sink for Liquid-Cooled Chips , ASME J. Heat Transfer , vol. 132 , no. 8 , pp. 081402 , 2010 .
  • W. Escher , T. Brunschwiler , B. Michel , and D. Poulikakos , A Novel High Performance, Ultra Thin Heat Sink for Electronics , Int. J. Heat Mass Transfer , vol. 31 , no. 4 , pp. 586 – 598 , 2010 .
  • T. Brunschwiler , B. Smith , E. Ruetsche , and B. Michel , Toward Zero-Emission Data Centers through Direct Reuse of Thermal Energy , IBM J. Research & Devlopement , vol. 53 , no. 3 , p. 11 , 2009 .
  • S. Zimmermann , M. K. Tiwari , F. Ott , B. Michel , I. Meijer , S. Paredes , and D. Poulikakos , Experimental Investigation of a Hot Water-Cooled Heat Sink for Efficient Data Center Cooling: Towards Electronic Cooling with High Exergetic Utility, Proc. 2nd European Conf. Microfluidics—Microfluidics 2010 , Toulouse , France , 2010 .
  • P. Kasten , S. Zimmermann , M. K. Tiwari , B. Michel , and D. Poulikakos , Hot Water-Cooled Heat Sinks for Efficient Data Center Cooling: Towards Electronic Cooling with High Exergetic Utility , Frontiers in Heat and Mass Transfer , vol. 1 , p. 023006 , 2010 .
  • C. Isci and M. Martonosi , Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data, Proc. 36 Int. Symp. Microarchitecture , San Diego , CA , December 2003 .
  • F. J. Mesa-Martinez , E. K. Ardestani , and J. Renau , Characterizing Processor Thermal Behavior , ACM SIGPLAN Notices , vol. 45 , no. 3 , pp. 193 – 204 , 2010 .
  • R. Alur , C. Courcoubetis , T. A. Henzinger , and P.-H. Ho , Hybrid Automata: An Algorithmic Approach to the Specification and Verification of Hybrid Systems , Lecture Notes in Computer Science , vol. 736 , pp. 209 – 229 , 1993 .
  • J. Lygeros , An Overview of Hybrid System Control , in D. Hristu-Varsakelis and W. Levine (eds.), Handbook of Networked and Embedded Control Systems , pp. 519 – 538 , Computational Sciences and Engineering , Boston , Birkhauser , 2005 .
  • A.K. and S.Z. contributed equally to this article.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.