135
Views
10
CrossRef citations to date
0
Altmetric
Articles

An optimised 3D topology for on-chip communications

, &
Pages 346-362 | Received 15 Sep 2012, Accepted 11 Nov 2013, Published online: 11 Dec 2013

REFERENCES

  • D.P.Agrawal, C.Chen, and J.Richard Burke, Hybrid graph-based networks for multiprocessing, Telecommun. Syst.10 (1998), pp. 107–134.
  • M.Ali, M.Welzl, A.Adnan, and F.Nadeem, Using the NS-2 network simulators for evaluating network on chips (NoC), in Proceedings of International Conference on Emerging Technologies (ICET 2006), Peshawar, Pakistan, 2006, pp. 506–512.
  • M.Bakhouya, J.Gaber, S.Suboh, and T.El-Ghazawi, Analytical modeling and evaluation of network-on-chip architectures, in Proceedings of 2010 International Conference on High Performance Computing and Simulation (HPCS), Caen, France, 2010, pp. 615–622.
  • A.Bartzas, N.Skalis, K.Siozios, and D.Soudris, Exploration of alternative topologies for application specific 3D networks-on-chip, in Proceedings of 5th Workshop on Application Specific Processors (WASP'07), Salzburg, Austria, 2007, pp. 1–8.
  • S.M.Batainehand and B.Y.Allosl, Fault-tolerant multistage interconnection network, Telecommun. Syst.17(4) (2001), pp. 455–472.
  • L.Benini and G.De Micheli, Networks on chip: A new SoC paradigm, IEEE Comput.31(1) (2002), pp. 70–78.
  • S.Chalasani and R.V.Boppana, Fault-tolerant wormhole routing algorithms for mesh networks, IEEE Trans. Comput.44(7) (1995), pp. 848–864.
  • W.J.Dally and C.L.Seitz, Deadlock-free message routing in multiprocessor interconnection networks, IEEE Trans. Comput.36(5) (1987), pp. 547–553.
  • W.J.Dally and B.Towles, Route packets, not wires: On chip interconnection networks, in Proceedings of Design Automation Conference (DAC 2001), Las Vegas, NV, USA, 2001, pp. 683–689.
  • F.Dubois, A.Sheibanyrad, F.Petrot, and M.Bahmani, Elevator-First: A deadlock-free distributed routing algorithm for vertically partially connected 3D-NoCs, IEEE Trans. Comput.62(3) (2013), p. 609–615.
  • B.S.Feero and P.P.Pande, Networks-on-chip in a three-dimensional environment: A performance evaluation, IEEE Trans. Comput.58(1) (2009), pp. 32–45.
  • J.-S.Fu, Hamiltonicity of the WK-recursive network with and without faulty nodes, IEEE Trans. Parallel Distributed Syst.16(9) (2005), pp. 853–865.
  • J.S.Fu and G.H.Chen, Hamiltonicity of the hierarchical cubic network, Theory Comput. Syst.35(1) (2002), pp. 59–79.
  • H.Gu, J.Xu, K.Wang, and M.K.H.Morton, A new distributed congestion control mechanism for networks on chip, Telecommun. Syst.44(3–4) (2010), pp. 321–331.
  • H.Matsutani, M.Koibuchi, and H.Amano, Tightly-coupled multi-layer topologies for 3-D NoCs, in Proceedings of International Conference on Parallel Processing (ICPP 2007), Xi'an, China, 2007, pp. 1–10.
  • V.-D. Ngo and H.-W. Choi, On chip network topology design and evaluation using NS2, Proceedings of 7th International Conference on Advanced Communication Technology (ICACT 2005), Phoenix Park, Korea (2005)
  • J.D.Owens, W.J.Dally, R.Ho, D.N.Jayasimha, S.W.Keckler, and L.S.Peh, Research challenges for on-chip interconnection networks, IEEE Micro27(5) (2007), pp. 96–108.
  • V.F.Pavlidis and E.G.Friedman, 3-D topologies for networks-on chip, IEEE Trans. Very Large Scale Integration (VLSI) Syst.15(10) (2007), pp. 1081–1090.
  • A.M. Rahmani, K. Latif, P. Liljeberg, J. Plosila, and H. Tenhunen, Research and practices on 3D networks-on-chip architectures, in Proceedings of NORCHIP 2010 Conference, Santorini, Greece, 2010.
  • R.S. Ramanujam and B. Lin, Near-optimal oblivious routing on three dimensional mesh networks, Proceedings of IEEE International Conference on Computer Design, Lake Tahoe, CA (2008)
  • R.S.Ramanujam and B.Lin, A layer-multiplexed 3D on-chip network architecture, IEEE Embedded Syst. Lett.1(2) (2009), pp. 50–55.
  • C.Rusu, L.Anghel, and D.Avresky, Message routing in 3D networks-on-chip, in Proceedings of Nordic Multi Project Chip organization (NORCHIP 2009), Trondheim, Norway, 2009, pp. 1–4.
  • K.Somasundaram and J.Plosila, Deadlock free routing algorithm for minimizing flits packet transmission in network on chip, Int. J. Embedded Real-Time Commun. Syst.3(1) (2012), pp. 70–82.
  • S.Suboh, M.Bakhouya, J.Gaber, and T.El-Ghazawi, An interconnection architecture for network-on-chip systems, Telecommun. Syst.37 (2008), pp. 137–144.
  • Y.-R. Sun, S. Kumar, and A. Jantsch, Simulation and evaluation for a network on chip architecture using NS-2, in Proceedings of 20th IEEE Norchip Conference, Copenhagen, Denmark, 2002.
  • S.Tyagi and S.Bohare, Review of 3-D network-on-chip topologies, in Proceedings of 2011 World Congress on Information and Communication Technologies (WICT), Mumbai, India, 2011, pp. 783–788.
  • L.Verdoscia and R.Vaccaro, An adaptive routing algorithm for WK-recursive topologies, J. Comput.63(2) (1999), pp. 171–184.
  • N.Viswanathan, K.Paramasivam, and K.Somasundaram, Performance analysis of cluster based 3D routing algorithms for NoC, in IEEE Proceedings of Recent Advances in Intelligent Computational Systems (RAICS 2011), Trivandrum, India, 2011, pp. 157–162.
  • N.Viswanathan, K.Paramasivam, and K.Somasundaram, Exploring optimal topology and routing algorithm for 3D network on chip, Am. J. Appl. Sci.9(3) (2012), pp. 300–308.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.