95
Views
6
CrossRef citations to date
0
Altmetric
Original Articles

The 2D SEM: A novel high-performance and low-power mesh-based topology for networks-on-chip

, &
Pages 331-344 | Received 06 Dec 2008, Accepted 11 Feb 2009, Published online: 09 Jul 2010

References

  • Benini , L. and De Micheli , G. 2002 . Networks on chip: A new paradigm for systems on chip design . IEEE Trans. Comput. , 35 ( 1 ) : 70 – 78 .
  • Dally , W. and Seitz , C. 1987 . Deadlock-free message routing in multiprocessor interconnection networks . IEEE Trans. Comput. , 36 : 547 – 553 .
  • Duato , J. 1995 . A necessary and sufficient condition for deadlock-free adaptive routing in wormhole networks . IEEE Trans. Parallel Distrib. Syst. , 6 : 1055 – 1067 .
  • Duato , J. , Lopez , P. , Silla , F. and Yalamanchili , S. 1996 . “ A high performance router architecture for interconnection networks ” . In Proceedings of the International Conference on Parallel Processing 61 – 68 .
  • Duato , J. , Yalamanchili , S. and Ni , L. 2002 . Interconnection Networks: An Engineering Approach , 2nd ed. , San Mateo, CA : Morgan Kaufmann Publishers .
  • Ganesan , E. and Pradhan , D.K. 2003 . Wormhole routing in de-Brujin and hyper de-Brujin networks . ISCAS , Vol. 3 : 870 – 873 . Bangkok, Thailand
  • Kim , S. and Veidenbaum , A.V. 1995 . “ On shortest path routing in single stage shuffle-exchange networks ” . In Proceedings of the 7th Annual ACM Symposium on Parallel Algorithms and Architectures 298 – 307 .
  • Kim , M. , Kim , D. and Sobelman , E. 2006 . “ NoC link analysis under power and performance constraints ” . In Proceedings of ISCAS, Greece 4163 – 4166 .
  • Mullins , R. , West , A. and Moore , S. 2006 . “ The design and implementation of a low-latency on-chip network ” . In Proceedings of the 11th Asia and South Pacific Design Automation Conference 164 – 169 .
  • Ogras , U.Y. and Marculescu , R. 2005 . “ Application-specific network-on-chip architecture customization via long-range link insertion ” . In IEEE/ACM Internaional Conference on Computer Aided Design, San Jose 246 – 253 .
  • Ogras , U.Y. , Hu , J. and Marculescu , R. 2005 . “ Key research problems in NoC design: a holistic perspective ” . In Proceedings of the CODES+ISSS 69 – 74 . Jersey City, NJ, USA
  • Padmanabhan , K. 1991 . Design and analysis of even-sized binary shuffle-exchange networks for multiprocessors . IEEE Trans. Parallel Distrib. Syst. , 2 : 385 – 397 .
  • Park , H. and Agrawal , D.P. 1995 . “ Efficient deadlock-free wormhole routing in shuffle-based networks ” . In Proceedings of the 7th IEEE Symposium on Parallel and Distributed Processing 92 – 97 .
  • Pifarre , G.D. , Gravano , L. , Felperin , S.A. and Sanz , J.L.C. 1994 . Fully adaptive minimal deadlock-free packet routing in hypercubes, meshes, and other networks: Algorithms and simulations, IEEE Trans . Parallel Distrib. Syst. , 4 : 247 – 263 .
  • Sabbaghi-Nadooshan , R. , Modarressi , M. and Sarbazi-Azad , H. 2008 . “ 2D DBM: An attractive alternative to the mesh topology for on-chip networks ” . In Proceedings of International Conference on Computer Design (ICCD) 486 – 491 . Lake Tahoc, CA, USA
  • Sabbaghi-Nadooshan , R. , Modarressi , M. and Sarbazi-Azad , H. 2008 . A novel high performance low power mesh based NoC . : 1 – 7 . in Proceedings of PMEO Workshop in conjunction with IEEE International Symposium on Parallel and Distributed Processing, Miami, FL, USA
  • Sparso , J. , Jorgensen , H.N. , Paaske , E. , Pedersen , S. and Rubner-Petersen , T. 1991 . An area-efficient topology for VLSI implementation of viterbi decoders and other shuffle-exchange type structures . IEEE J. Solid State Circuits , 24 : 90 – 97 .
  • Steinberg , D. and Rodeh , M. 1981 . A layout for the shuffle-exchange network with O(N2/log3/2N) area . IEEE Transactions on Computers , 30 : 977 – 982 .
  • Stone , H. 1971 . Parallel processing with perfect shuffle . IEEE Trans. Comput. , 20 : 153 – 161 .
  • X. Tan and K.C. Sevcik, Reduced distance routing in single-stage shuffle-exchange interconnection networks, Technical Report, University of Toronto, 1986
  • Wang , H. , Zhu , X. , Peh , L. and Malik , S. 2002 . “ Orion: A power-performance simulator for interconnection networks ” . In Proceedings of the 35th International Symposium on Microarchitecture (MICRO) 294 – 305 .

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.