1,355
Views
3
CrossRef citations to date
0
Altmetric
Research Article

An effective approach for identifying defective critical fabrication path

ORCID Icon | (Reviewing editor)
Article: 1575636 | Received 14 May 2018, Accepted 23 Jan 2019, Published online: 26 Feb 2019

References

  • Adly, F., Al Hussein, O., Yoo, P., Al-Hammadi, Y., Taha, K., Muhaidat, S., … Ismail, M. (2015). Simplified subspaced regression network for identification of defect patterns in semiconductor wafer maps. IEEE Transactions on Industrial Informatics, 11(6), 1267–19. doi:10.1109/TII.2015.2481719
  • Adly, F., Yoo, P., Muhaidat, S., Al-Hammadi, Y., Lee, U., & Ismail, M. (2015). Randomized general regression network for identification of defect patterns in semiconductor wafer maps. IEEE Transactions on Semiconductor Manufacturing, 28(2), 145–152. doi:10.1109/TSM.2015.2405252
  • Baly, R., & Hajj, H. (2012). Wafer classification using support vector machines. IEEE Transactions on Semiconductor Manufacturing, 25(3), 373–383. doi:10.1109/TSM.2012.2196058
  • Burkeen, F., Vedula, S., & Meeks, S. (2007). Visualizing the wafer’s edge. KLA-Tencor yield management solutions magazine (pp. 18–20). Spring. doi:10.1016/j.jhsb.2006.07.007
  • Chen, F. L., & Liu, S. F. (2000). A neural-network approach to recognize defect spatial pattern in semiconductor fabrication. IEEE Transaction Semiconductor Manufacturing, 13(3), 366–373. doi:10.1109/66.857947
  • Chien, C.-F., Hsu, C.-Y., & Chen, P.-N. (2013). Semiconductor fault detection and classification for yield enhancement and manufacturing intelligence. Flexible Services and Manufacturing Journal, 25(3), 367–388. doi:10.1007/s10696-012-9161-4
  • Chien, C. F., Wang, W. C., & Cheng, J. C. (2007). Data mining for yield enhancement in semiconductor manufacturing and an empirical study. Expert Systems with Applications, 33(1), 192–198. doi:10.1016/j.eswa.2006.04.014
  • Chou, P. B., Rao, A. R., Sturenbecker, M. C., Wu, F. Y., & Brecher, V. H. (1997). Automatic defect classification for semiconductor manufacturing. Machine Vision and Applications, 9, 201–214. doi:10.1007/s001380050041
  • Cunningham, S. P., & MacKinnon, S. (1998). Statistical methods for visual defect metrology, semiconductor manufacturing. IEEE Transactions, 11(1), 48–53.
  • de Berg, M., Cheong, O., van Kreveld, M., & Overmars, M. Computational Geometry: Algorithms and Applications (3rd ed.). Springer-Verlag.
  • Elmasri, R., & Navathe, S. (2007). Fundamentals of database systems. Addison-Wesley Computing.
  • Fenner, J. S., Jeong, M. K., & Lu, J. C. (2005, Feb). Optimal automatic control of multistage production processes. IEEE Transactions on Semiconductor Manufacturing, 18(1), 94–103. doi:10.1109/TSM.2004.840532
  • Friedman, D., Hansen, M., & James, D. (1997). Model-free estimation of defect clustering in integrated circuit fabrication. IEEE Transactions on Semiconductor Manufacturing, 10(3), 344–359. doi:10.1109/66.618208
  • Hansen, M., Friedman, D., & Nair, V. J. (1997). Monitoring wafer map data from integrated circuit fabrication process for spatially clustered defects. Technometrics, 39(3), 241–253. doi:10.1080/00401706.1997.10485116
  • Hsu, S. C., & Chien, C. F. (2007). Hybrid data mining approach for pattern extraction from wafer bin map to improve yield in semiconductor manufacturing. Journal of Production Economics, 25(107).
  • Iwata, H., Ono, M., Konishi, J., Isogai, S., & Furutani, T. (2000). In-line wafer inspection data warehouse for automated defect limited yield analysis. In Advanced Semiconductor Manufacturing Conference and Workshop (pp. 124–129). Boston, MA: IEEE/SEMI.
  • Lee, J. H., Yu, S. J., & Park, S. C. (2001a). Design of intelligent data sampling methodology based on data mining. IEEE Transactions on Robotics and Automation, 5(17), 637–649. doi:10.1109/70.964664
  • Lee, J. H., Yu, S. J., & Park, S. C. (2001b). A new intelligent SOFM-based sampling plan for advanced process control. Expert Systems with Applications, 20, 133–151. doi:10.1016/S0957-4174(00)00054-3
  • Liu, S. F., Chen, F. L., & Lu, W. B. (2002). Wafer bin map recognition using a neural network approach. International Journal of Production Research, 40, 2207–2223. doi:10.1080/00207540210122275
  • Palma, D., Nicolao, D., & Miraglia, G. (2005). Unsupervised spatial pattern classification of electrical-wafer sorting maps in semiconductor manufacturing. Pattern Recognition Letters, 26, 1857–1865. doi:10.1016/j.patrec.2005.03.007
  • Pukite, P. R., & Berman, C. L. (1990, Aug). Defect cluster analysis for wafer-scale integration. IEEE Transactions on Semiconductor Manufacturing, 3, 128–135. doi:10.1109/66.56569
  • Snoeck, M., & Dedene, G. (1998). Existence dependency: The key to semantic integrity between structural and behavioral aspects of object types. IEEE Transactions on Software Engineering, 24(24), 233–251. doi:10.1109/32.677182
  • Taha, K. (2017). An effective approach for associating the sources of defect signatures to process zones. IEEE Transactions on Semiconductor Manufacturing, 30(2), 176–184. doi:10.1109/TSM.2017.2679714
  • Taha, K. (2018). CDID: A system for identifying the root cause of a defect in semiconductor wafer fabrication. IEEE Transactions on Semiconductor Manufacturing, 31(2), 221–231. doi:10.1109/TSM.2018.2808703
  • Taha, K., Salah, K., & Yoo., P. (2017). Clustering the dominant defective patterns in semiconductor wafer maps. IEEE Transactions on Semiconductor Manufacturing, 31(1), 156–165. doi:10.1109/TSM.2017.2768323
  • Widjaya, N., Taniar, D., & Rahayu, W. (2003). Aggregation transformation of XML schema to object-relational databases. Innovative Internet Community Systems, LNCS 2877 (pp. 251–262).
  • Xie, L., Li, D., & Simske, S. J. (2011). Feature dimensionality reduction for example-based image super-resolution. Journal of Pattern Recognition Research, 6(2), 130–139. doi:10.13176/11.323
  • Yuan, T., & Kuo, W. (2008). Spatial defect recognition on semiconductor wafers using model-based clustering and Bayesian inference. European Journal of Operational Research, 190, 1. doi:10.1016/j.ejor.2007.06.007
  • Zhou, C., Ross, R., Vickery, C., Metteer, B., Gross, S., & Verret, D. (2002). Yield prediction using critical area analysis with inline defect data. In Advanced Semiconductor Manufacturing 2002 IEEE/SEMI Conference and Workshop (pp. 82–86). Boston, MA.