14,724
Views
19
CrossRef citations to date
0
Altmetric
Reviews

Prospects and applications of photonic neural networks

, , , , , , , , , , , , , , , , & ORCID Icon show all
Article: 1981155 | Received 27 Apr 2021, Accepted 09 Sep 2021, Published online: 31 Oct 2021

References

  • McCarthy J, Minsky ML, Rochester N, et al. A proposal for the dartmouth summer research project on artificial intelligence, August 31, 1955. AI Mag. 2006;27:12.
  • LeCun Y, Bengio Y, Hinton G. Deep learning. nature. 2015;521:436–63.
  • Berggren K, Xia Q, Likharev KK, et al. Roadmap on emerging hardware and technology for machine learning. Nanotechnology. 2020;32:012002.
  • Schuman CD, Potok TE, Patton RM, et al. A survey of neuromorphic computing and neural networks in hardware. In arXiv preprint arXiv:1705.06963. 2017.
  • Shastri BJ, Tait AN, De Lima TF, et al. Photonics for artificial intelligence and neuromorphic computing. Nat Photonics. 2021;15:102–114.
  • Furber SB, Galluppi F, Temple S, et al. The spinnaker project. Proc IEEE. 2014;102:652–665.
  • Merolla PA, Arthur JV, Alvarez-Icaza R, et al. A million spiking-neuron integrated circuit with a scalable communication network and interface. Science. 2014;345:668–673.
  • Schemmel J, Brüderle D, Grübl A, et al. (2010). A wafer-scale neuromorphic hardware system for large-scale neural modeling. In 2010 ieee international symposium on circuits and systems (iscas) (pp. 1947–1950).
  • Govoreanu B, Kar G, Chen Y, et al. 10× 10nm 2 hf/hfo x crossbar resistive ram with excellent performance, reliability and low-energy operation. In: 2011 international electron devices meeting. 2011, Washington, DC, USA. p. 31–36.
  • Yang JJ, Strukov DB, Stewart DR. Memristive devices for computing. Nat Nanotechnol. 2013;8:13–24.
  • Miller DA. Device requirements for optical interconnects to silicon chips. Proc IEEE. 2009;97:1166–1185.
  • Nahmias MA, De Lima TF, Tait AN, et al. Photonic multiply-accumulate operations for neural networks. IEEE J Sel Top Quantum Electron. 2019;26:1–18.
  • Agarwal S, Jacobs-Gedrim RB, Bennett C, et al. Designing and modeling analog neural network training accelerators. In: 2019 international symposium on vlsi technology, systems and application (vlsi-tsa). 2019. p. 1–2).
  • Ahmed AH, El Moznine A, Lim D, et al. A dual-polarization silicon-photonic coherent transmitter supporting 552 Gb/s/wavelength. IEEE J Solid-State Circuits. 2020;55:2597–2608.
  • Ahmed AH, Sharkia A, Casper B, et al. Silicon-photonics microring links for datacenterschallenges and opportunities. IEEE J Sel Top Quantum Electron. 2016;22:194–203.
  • Psaltis D, Farhat N. Optical information processing based on an associative- memory model of neural nets with thresholding and feedback. Opt Lett. 1985;10:98–100.
  • Feldmann J, Youngblood N, Wright CD, et al. All- optical spiking neurosynaptic networks with self-learning capabilities. Nature. 2019;569:208–214.
  • Thomson D, Zilkie A, Bowers JE, et al. Roadmap on silicon photonics. J Opt. 2016;18:073003.
  • Shekhar S (2021). Tutorial: silicon photonics - from basics to ASICs. In 2021 ieee international solid-state circuits conference (isscc).
  • Sun J, Timurdogan E, Yaacobi A, et al. Large-scale nanophotonic phased array. Nature. 2013;493:195–199.
  • Han J, Jentzen A, Weinan E. Solving high-dimensional partial differential equations using deep learning. Proc Nat Acad Sci. 2018;115:8505–8510.
  • Huang C, Fujisawa S, De Lima TF, et al., (2020). Demonstration of photonic neural network for fiber nonlinearity compensation in long-haul transmission systems. In 2020 optical fiber communications conference and exhibition (ofc) (pp. 1–3), San Diego, California, USA.
  • Khan FN, Fan Q, Lu C, et al. An optical communication’s perspective on machine learning and its applications. J Lightwave Technol. 2019;37:493–516.
  • Ma PY, Tait AN, Zhang W, et al. Blind source separation with integrated photonics and reduced dimensional statistics. Opt Lett. 2020;45:6494–6497.
  • Prucnal PR, Shastri BJ. Neuromorphic photonics. Boca Raton: CRC Press; 2017.
  • Cong J, Xiao B (2014). Minimizing computation in convolutional neural networks. In International conference on artificial neural networks (pp. 281–290), Hamburg, Germany.
  • De Lima TF, Peng H-T, Tait AN, et al. Machine learning with neuromorphic photonics. J Lightwave Technol. 2019;37:1515–1534.
  • De Lima TF, Tait AN, Saeidi H, et al. Noise analysis of photonic modulator neurons. IEEE J Sel Top Quantum Electron. 2019;26:1–9.
  • Chen Y-H, Krishna T, Emer JS, et al. Eyeriss: an energy-efficient recon- figurable accelerator for deep convolutional neural networks. IEEE J Solid-State Circuits. 2016;52:127–138.
  • Chen Y-H, Emer J, Sze V. Eyeriss: a spatial architecture for energy-efficient dataflow for convolutional neural networks. ACM SIGARCH Comput Archit News. 2016;44:367–379.
  • Gudaparthi S, Narayanan S, Balasubramonian R, et al. (2019). Wire-aware architecture and dataflow for cnn accelerators. In Proceedings of the 52nd annual ieee/acm international symposium on microarchitecture pp. (1–13), Columbus OH USA.
  • Bankman D, Murmann B (2016). An 8-bit, 16 input, 3.2 pj/op switched-capacitor dot product circuit in 28-nm fdsoi cmos. In 2016 ieee asian solid-state circuits conference (a-sscc) (pp. 21–24), Toyama, Japan.
  • Skrzyniarz S, Fick L, Shah J, et al. (2016).24.3 a 36.8 2b-tops/w self-calibrating gps accelerator implemented using analog calculation in 65nm lp cmos. In 2016 ieee international solid-state circuits conference (isscc) (pp. 420–422), San Francisco, CA, USA.
  • Sarpeshkar R. Analog versus digital: extrapolating from electron- ics to neurobiology. Neural Comput. 1998;10:1601–1638. Retrieved from.
  • Wattanapanitch W, Fee M, Sarpeshkar R (2007). An energy-efficient micropower neural recording amplifier. IEEE Trans Biomed Circuits Syst, 1, 136–147. The WIDAR Supercomputer. (n.d.). Retrieved 2021-03-07, from https://public.nrao.edu/gallery/the-widar-supercomputer/
  • Boahen K. A neuromorph’s prospectus. Comput Sci Eng. 2017;19:14–28.
  • Brunner D, Soriano MC, Mirasso CR, et al. Parallel photonic information processing at gigabyte per second data rates using transient states. Nat Commun. 2013jan; 4: 1364 Retrieved from
  • Cao N, Chang M, Raychowdhury A. A 65-nm 8-to-3-b 1.00.36-v 9.11.1- tops/w hybrid-digital-mixed-signal computing platform for accelerating swarm robotics. IEEE J Solid-State Circuits. 2020 Jan;55:49–59.
  • Capmany J, Mora J, Gasulla I, et al. Microwave photonic signal processing. J Lightwave Technol. 2013 feb;31:571–586.
  • Chang G-K, Cheng L. The benefits of convergence. Philos Trans Royal Soc A. 2016 mar;374: 20140442. Retrieved from: http://rsta.royalsocietypublishing.org/lookup/doi/10.1098/rsta.2014.0442
  • Chen H-W, Peters JD, Bowers JE (2011 jan). Forty Gb/s hybrid silicon Mach-Zehnder modulator with low chirp. Opt Express, 19, 1455. Retrieved from https://www.osapublishing.org/oe/abstract.cfm?uri=oe-19-2-1455
  • Semenova N, Porte X, Andreoli L, et al. Fundamental aspects of noise in analog-hardware neural networks. Chaos: An Interdiscip J Nonlinear Sci. 2019;29:103128. Retrieved from.
  • Semenova N, Larger L, Brunner D (2021). Noise in trained deep neural networks
  • Biswas A, Chandrakasan AP (2018). Conv-ram: an energy-efficient sram with embedded convolution computation for low-power cnn-based machine learning applications. In 2018 ieee international solid - state circuits conference - (isscc) (p. 488–490), San Francisco, CA, USA.
  • Yu S, Sun X, Peng X, et al. (2020). Compute-in-memory with emerging nonvolatile- memories: challenges and prospects. In 2020 ieee custom integrated circuits conference (cicc) (p. 1–4), Boston, MA, United States.
  • Liu Q, Gao B, Yao P, et al. (2020). 33.2 a fully integrated analog reram based 78.4tops/w compute-in-memory chip with fully parallel mac computing. In 2020 ieee international solid- state circuits conference - (isscc) (p. 500–502), San Francisco, California.
  • Marinella MJ, Agarwal S, Hsia A, et al. Multiscale co-design analysis of energy, latency, area, and accuracy of a reram analog neural training accelerator. IEEE J Emerg Selected Topics Circuits Syst. 2018;8:86–101.
  • Hu M, Strachan JP, Li Z, et al. (2016). Dot-product engine for neuromorphic computing: programming 1t1m crossbar to accelerate matrix-vector multiplication. In 2016 53nd acm/edac/ieee design automation conference (dac) (p. 1–6), Austin, TX, USA.
  • Judd P, Albericio J, Hetherington T, et al. (2016). Stripes: bit-serial deep neural network computing. In 2016 49th annual ieee/acm international symposium on microarchitecture (micro) (p. 1–12), Taipei, Taiwan.
  • Choi J, Venkataramani S, Srinivasan V, et al. (2019). Accurate and efficient 2-bit quantized neural networks. In Proceedings of the 2nd sysml conference (Vol. 2019), Palo Alto, US.
  • Miller DAB. Rationale and challenges for optical interconnects to electronic chips. Proc IEEE. 2000;88:728–749.
  • Keyes RW. Optical logic-in the light of computer technology. Optica Acta. Int J Opt. 1985;32:525–535. Retrieved from.
  • De Lima TF, Tait AN, Mehrabian A, et al. Primer on silicon neuromorphic photonic pro- cessors: architecture and compiler. Nanophotonics. 2020;9:4055–4073. Retrieved from.
  • Hasler J, Marr H. Finding a roadmap to achieve large neur- omorphic hardware systems. Front Neurosci. 2013;7: 118. Retrieved from: https://www.frontiersin.org/article/10.3389/fnins.2013.00118
  • Jouppi NP, Young C, Patil N, et al. In-datacenter performance analysis of a tensor processing unit. SIGARCH Comput. Archit News. 2017bJune; 45: 112 Retrieved from
  • Shen Y, Harris NC, Skirlo S, et al. Deep learning with coherent nanophotonic circuits. Nat Photonics. 2017;11:441.
  • Tait AN, Nahmias MA, Shastri BJ, et al. Broadcast and weight: an integrated network for scalable photonic spike processing. J Lightwave Technol. 2014;32:4029–4041.
  • Bangari V, Marquez BA, Miller H, et al. Digital electronics and analog photonics for convolutional neural networks (deap-cnns). IEEE J Sel Top Quantum Electron. 2019;26:1–13.
  • Shainline JM, Buckley SM, Mirin RP, et al. Superconducting optoelectronic circuits for neuromorphic computing. Phys Rev Appl. 2017;7:034013.
  • Feldmann J, Youngblood N, Karpov M, et al. (2021 jan). Parallel convolutional processing using an in- tegrated photonic tensor core. Nature, 589, 52–58. Retrieved from http://www.nature.com/articles/s41586-020-03070-1
  • Georgieva N, Glavic S, Bakr M, et al. Feasible adjoint sensitivity technique for em design optimization. IEEE Trans Microw Theory Tech. 2002;50:2751–2758.
  • Goodman JW, Leonberger FJ, Sun-Yuan Kung, et al. Optical interconnections for vlsi systems. Proc IEEE. 1984;72:850–866.
  • Nozaki K, Matsuo S, Fujii T, et al. Femtofarad optoelectronic integration demonstrating energy-saving signal conversion and nonlinear functions. Nat Photonics. 2019;13:454–459.
  • Lin, C.H., Cheng, C.C., Tsai, Y.M., Hung, S.J., Kuo, Y.T., Wang, P. H., . . . others (2020). 7.1 a 3.4-to-13.3 tops/w 3.6 tops dual-core deep-learning accelerator for versatile ai applic- ations in 7nm 5g smartphone soc. In 2020 ieee international solid-state circuits conference- (isscc) (pp. 134–136)
  • Xue C-X, Chen W-H, Liu J-S, et al., (2019). 24.1 a 1mb multibit reram computing-in-memory macro with 14.6 ns parallel mac computing time for cnn based ai edge processors. In 2019 ieee international solid-state circuits conference- (isscc) (pp. 388–390), San Francisco, California.
  • Huang C, Bilodeau S, Ferreira de Lima T, et al. Demonstration of scalable microring weight bank control for large-scale photonic integrated circuits. APL Photonics. 2020;5:040803.
  • Ramey C (2020). Silicon photonics for artificial intelligence acceleration: hotchips 32. In 2020 ieee hot chips 32 symposium (hcs) (pp. 1–26), Palo Alto, CA, USA.
  • Zhang W, Huang C, Bilodeau S, et al. Microring weight banks control beyond 8.5-bits accuracy. 2021.
  • Amin R, George J, Sun S, et al. Ito-based electro-absorption modulator for photonic neural activation function. APL Mater. 2019;7:081112.
  • George JK, Mehrabian A, Amin R, et al. Neuromorphic photonics with electro-absorption modulators. Opt Express. 2019;27:5181–5191.
  • Guo X, Barrett TD, Wang ZM, et al. (2021 mar). Backpropagation through nonlinear units for the all-optical training of neural networks. Photonics Res, 9, B71. Retrieved from https://www.osapublishing.org/abstract.cfm?URI=prj-9-3-B71
  • Tait AN, De Lima TF, Nahmias MA, et al. Silicon photonic modulator neuron. Phys Rev Appl. 2019;11:064043.
  • Wang C, Zhang M, Chen X, et al. Integrated lithium niobate electro-optic modulators operating at cmos- compatible voltages. Nature. 2018;562:101–104.
  • Kuo Y-H, Lee YK, Ge Y, et al. Strong quantum-confined stark effect in germanium quantum-well structures on silicon. Nature. 2005;437:1334–1336.
  • Dong P, Liao S, Feng D, et al. Low v pp, ultralow-energy, compact, high-speed silicon electro-optic modulator. Opt Express. 2009;17:22484–22490.
  • Xu Q, Schmidt B, Pradhan S, et al. Micrometre-scale silicon electro-optic modulator. nature. 2005;435:325–327.
  • Amin R, Maiti R, Carfano C, et al. 0.52 v mm ito-based mach-zehnder modulator in silicon photonics. APL Photonics. 2018a;3:126104.
  • Komljenovic T, Davenport M, Hulme J, et al. Heterogeneous silicon photonic integrated circuits. J Lightwave Technol. 2016;34:20–35.
  • Liu M, Yin X, Ulin-Avila E, et al. A graphene-based broadband optical modulator. Nature. 2011;474:64–67.
  • Huang C, De Lima TF, Jha A, et al. Programmable silicon photonic optical thresholder. IEEE Photonics Technol Lett. 2019;31:1834–1837.
  • The History of Artificial Intelligence. (2017, August). Retrieved 2021-03-08, from https://sitn.hms.harvard.edu/flash/2017/history-artificial-intelligence/
  • Hughes TW, Minkov M, Shi Y, et al. (2018 jul). Training of photonic neural networks through in situ backpropagation and gradient measurement. Optica, 5, 864. Retrieved from https://www.osapublishing.org/abstract.cfm?URI=optica-5-7-864
  • Jaeger H, Haas H (2004 apr). Harnessing nonlinearity: predicting chaotic systems and saving energy in wireless communication. Science, 304, 78–80. Retrieved from http://www.ncbi.nlm.nih.gov/pubmed/15064413
  • Jayatilleka H, Murray K, Guillén-Torres MÁ, et al. (2015 sep). Wavelength tuning and stabilization of microring-based filters using silicon in-resonator photoconductive heaters. Opt Express, 23, 25084. Retrieved from https://www.osapublishing.org/abstract.cfm?URI=oe-23-19-25084
  • Jha A, Huang C, Prucnal PR. Reconfigurable all-optical nonlinear activation functions for neuromorphic photonics. Opt Lett. 2020;45:4819–4822.
  • Zhang J, Wang Z, Verma N (2016). A machine-learning classifier implemented in a standard 6t sram array. In 2016 ieee symposium on vlsi circuits (vlsi-circuits) (p. 1–2), Honolulu, HI, USA.
  • Chakraborty I, Saha G, Sengupta A, et al. Toward fast neural computing using all-photonic phase change spiking neurons. Sci Rep. 2018;8:1–9.
  • Miscuglio M, Mehrabian A, Hu Z, et al. All-optical nonlinear activation function for photonic neural networks. Opt Mater Express. 2018;8:3851–3863.
  • Giewont K, Nummy K, Anderson FA, et al. 300-mm monolithic silicon photonics foundry technology. IEEE J Sel Top Quantum Electron. 2019;25:1–11.
  • Amin R, Suer C, Ma Z, et al. Active material, optical mode and cavity impact on nanoscale electro-optic modulation performance. Nanophotonics. 2018;7:455–472.
  • Amin R, Suer C, Ma Z, et al. Active material, optical mode and cavity impact on nanoscale electro-optic modulation performance. Nanophotonics. 2017;7:455–472.
  • Larger L, Soriano MC, Brunner D, et al. (2012 jan). Photonic information processing beyond turing: an optoelectronic implementation of reservoir computing. Opt Express, 20, 3241–3249. Retrieved from http://www.opticsexpress.org/abstract.cfm?URI=oe-20-3-3241
  • Lin C-H, Cheng -C-C, Tsai Y-M, et al., (2020). 7.1 a 3.4-to-13.3 tops/w 3.6 tops dual-core deep-learning accelerator for versatile ai applications in 7nm 5g smartphone soc. In 2020 ieee international solid-state circuits conference- (isscc) (pp. 134–136), San Francisco, California.
  • Lin P, Li C, Wang Z, et al. Three-dimensional memristor circuits as complex neural networks. Nat Electron. 2020;3:225–232.
  • Lin R, Ge J, Tran P, et al. Biomimetic photonics: jamming avoidance system in eigenmannia. Opt Express. 2018;26:13349–13360.
  • Lin X, Rivenson Y, Yardimci NT, et al. All-optical machine learning using diffractive deep neural networks. Science. 2018;361:1004–1008.
  • Brunstein M, Yacomotti AM, Sagnes I, et al. Excitability and self-pulsing in a photonic crystal nanocavity. Phys Rev A. 2012;85:031803.
  • Williamson IA, Hughes TW, Minkov M, et al. Repro- grammable electro-optic nonlinear activation functions for optical neural networks. IEEE J Sel Top Quantum Electron. 2019;26:1–12.
  • Jacques M, Samani A, El-Fiky E, et al. Optimization of thermo-optic phase-shifter design and mitigation of thermal crosstalk on the SOI platform. Opt Express. 2019;27:10456.
  • Patel D, Ghosh S, Chagnon M, et al. Design, analysis, and transmission system performance of a 41 GHz silicon photonic modulator. Opt Express. 2015;23:14263.
  • Sorianello V, Midrio M, Contestabile G, et al. Graphene-silicon phase modulat- ors with gigahertz bandwidth. Nat Photonics. 2018;12:40–44. Retrieved from.
  • He M, Xu M, Ren Y, et al. High-performance hybrid silicon and lithium niobate Mach–Zehnder modulators for 100 Gbit s −1 and beyond. Nat Photonics. 2019;13:359–364. Retrieved from.
  • Hiraki T, Aihara T, Hasebe K, et al. Heterogeneously integrated III-V/Si MOS capacitor Mach- Zehnder modulator. Nat Photonics. 2017;11:482–485. Retrieved from.
  • Amin R, Maiti R, Carfano C, et al. (2018b, aug). 0.52 V-mm ITO-based mach-Zehnder modulator in silicon photonics. APL Photonics, 3, 126104. Retrieved from http://aip.scitation.org/doi/10.1063/1.5052635http://arxivorg/abs/180903544
  • Green WM, Rooks MJ, Sekaric L, et al. (2007). Ultra-compact, low RF power, 10 Gb/s silicon Mach-Zehnder modulator. Opt Express, 15, 17106. Retrieved from https://www.osapublishing.org/oe/abstract.cfm?uri=oe-15-25-17106
  • Ríos C, Youngblood N, Cheng Z, et al. In-memory computing on a photonic platform. Sci Adv. 2019;5:eaau5759.
  • Dorren H, Lenstra D, Liu Y, et al. Nonlinear polarization rotation in semiconductor optical amplifiers: theory and application to all-optical flip-flop memories. IEEE J Quantum Electron. 2003;39:141–148.
  • Hill MT, De Waardt H, Khoe G, et al. Fast optical flip-flop by use of mach–zehnder interferometers. Microw Opt Technol Lett. 2001b;31:411–415.
  • Hill MT, De Waardt H, Khoe G, et al. All-optical flip-flop based on coupled laser diodes. IEEE J Quantum Electron. 2001a;37:405–413.
  • Wang J, Zhang Y, Malacarne A, et al. Soa fiber ring laser-based three-state optical memory. IEEE Photonics Technol Lett. 2008;20:1697–1699.
  • Alexoudi T, Kanellos GT, Pleros N. Optical ram and integrated optical memories: a survey. Light Sci Appl. 2020;9:1–16.
  • Meng J, Miscuglio M, George JK, et al. Electronic bot- tleneck suppression in next-generation networks with integrated photonic digital-to-analog converters. In: Advanced photonics research. 2019. p. 2000033.
  • Nahmias MA, Peng H-T, De Lima TF, et al. A laser spiking neuron in a photonic integrated circuit. In arXiv preprint arXiv:2012.08516. 2020.
  • Peng H-T, Nahmias MA, De Lima TF, et al. Neuromorphic photonic integrated circuits. IEEE J Sel Top Quantum Electron. 2018;24:1–15.
  • Peng G, Boxun L, Tang T, et al. (2015). Technological exploration of rram crossbar array for matrix-vector multiplication. In The 20th asia and south pacific design automation conference (p. 106–111), Tokyo, Japan.
  • Artech H, Porte X, Skalli A, et al. (2021, apr). A complete, parallel and autonomous photonic neural network in a semiconductor multimode laser. J Phys, 3, 024017. Retrieved from https://iopscience.iop.org/article/10.1088/2515-7647/abf6bd
  • Miscuglio M, Hu Z, Li S, et al. Massively parallel amplitude-only Fourier neural network. Optica. 2020;7:1812–1819.
  • Tait AN, De Lima TF, Zhou E, et al. Neuromorphic photonic networks using silicon photonic weight banks. Sci Rep. 2017;7:1–10.
  • Rafayelyan M, Dong J, Tan Y, et al. (2020). Large-scale optical reservoir computing for spatiotemporal chaotic systems prediction. Phys Rev X, 10, 041037. Retrieved from https://journals.aps.org/prx/abstract/10.1103/PhysRevX.10.041037
  • Ríos C, Stegmaier M, Hosseini P, et al. (2015, Nov). Integrated all-photonic non-volatile multi-level memory. Nat Photonics, 9, 725–732. Retrieved from http://www.nature.com/articles/nphoton.2015.182
  • Bueno Moragues J, Maktoobi S, Froehly L, et al. (2018). Reinforcement learning in a large-scale photonic recurrent neural network.
  • Gu J, Feng C, Zhao Z, et al. Efficient on-chip learning for optical neural networks through power-aware sparse zeroth-order optimization. In: arXiv preprint arXiv:2012.11148. 2020.
  • Sundstrom T, Murmann B, Svensson C. Power dissipation bounds for high-speed nyquist analog-to-digital converters. IEEE Trans Circuits Syst I: Reg Papers. 2009 march;56:509–518.
  • Sze V, Chen Y-H, Yang T-J, et al. Efficient processing of deep neural networks: a tutorial and survey. Proc IEEE. 2017;105:2295–2329.
  • Horowitz M (2014). 1.1 computing’s energy problem (and what we can do about it). In 2014 ieee international solid-state circuits conference digest of technical papers (isscc) (pp. 10–14), San Francisco, CA, USA.
  • Imani M, Patil S, Rosing T (2016). Low power data-aware stt-ram based hybrid cache architecture. In 2016 17th international symposium on quality electronic design (isqed) pp. (88–94), Santa Clara, CA, United States.
  • Tait AN, Jayatilleka H, Lima TFD, et al. Feedback control for microring weight banks. Opt Express. 2018 Oct;26:26422–26443.
  • Vandoorne K, Mechet P, Van Vaerenbergh T, et al. (2014 mar). Experimental demonstration of reservoir comput- ing on a silicon photonics chip. Nat Commun, 5, 3541. Retrieved from http://www.nature.com/doifinder/10.1038/ncomms4541
  • Walden RH. Analog-to-digital converter survey and analysis. IEEE J Sel Areas Commun. 1999 apr;17:539–550.
  • Tran K (2016). The era of high bandwidth memory. In 2016 ieee hot chips 28 symposium (hcs) (pp. 1–22), Cupertino, CA.
  • Xiao TP, Bennett CH, Feinberg B, et al. Analog architectures for neural network acceleration based on non-volatile memory. Appl Phys Rev. 2020;7:031301.
  • Xu X, Tan M, Corcoran B, et al. (2021 jan). 11 TOPS photonic convolutional accelerator for optical neural networks. Nature, 589, 44–51. Retrieved from http://www.nature.com/articles/s41586-020-03063-0
  • Mukherjee I, Saurav K, Nair P, et al. (2021). A case for emerging memories in dnn accelerators. In Design, automation & test in europe conference & exhibition (date). Murmann, B. (n.d.), Antwerp, Belgium. Retrieved from http://webstanfordedu/murmann/adcsurveyhtml
  • Choi JW, Sohn BU, Chen GF, et al. (2017). Nonlinear optical properties of gesbs chalcogenide waveguides. 2017 Opto-Electronics and Communications Conference, OECC 2017 and Photonics Global Con- ference, PGC 2017, 2017-Novem, 1–2, Singapore, Singapore.
  • Miscuglio M, Meng J, Yesiliurt O, et al. (2020). Artificial synapse with mnemonic functionality using gsst-based photonic integrated memory. In 2020 international applied computational electromagnetics society symposium (aces) pp. (1–3), Monterey, CA, USA.
  • Li X, Youngblood N, Ros C, et al. Fast and reliable storage using a 5 bit, nonvolatile photonic memory cell. Optica. 2019;6:1.
  • Jung Y, Jeong J, Qu Z, et al. Obser- vation of optically addressable nonvolatile memory in vo2 at room temperature. In Advanced electronic materials. 2021.
  • Miscuglio M, Sorger VJ. Photonic tensor cores for machine learning. Appl Phys Rev. 2020;7:031404.
  • Zhang Y, Ros C, Shalaginov MY, et al. Myths and truths about optical phase change materials: a perspective. Appl Phys Lett. 2021;118.
  • Kim B, Cho M-H, Kim Y-G, et al. A 1 v 6-bit 2.4 gs/s nyquist cmos dac for uwb systems. 2010; 912–915.
  • Sedighi B, Khafaji M, Scheytt JCS. 8-bit 5gs/s d/a converter for multi-gigabit wireless transceivers. 2011. p. 192–195.
  • Lin J, Hsieh C. A 0.3 v 10-bit 1.17 f sar adc with merge and split switching in 90 nm cmos. IEEE Trans Circuits Syst I: Reg Papers. 2015;62:70–79.
  • Liou C, Hsieh C (2013). A 2.4-to-5.2fj/conversion-step 10b 0.5-to-4ms/s sar adc with charge-average switching dac in 90nm cmos. In 2013 ieee international solid-state circuits conference digest of technical papers p. (280–281).
  • Zhu Y, Chan C, Chio U, et al. A 10-bit 100-ms/s reference-free sar adc in 90 nm cmos. IEEE J Solid-State Circuits. 2010;45:1111–1121.
  • Akrout M, Wilson C, Humphreys PC, et al. (2019). Deep learning without weight transport. (NeurIPS). 07 Sept 2019. Retrieved from http://arxiv.org/abs/1904.05391
  • Scellier B, Bengio Y. Equilibrium propagation: bridging the gap between energy- based models and backpropagation. Front Comput Neurosci. 2017;11:1–13.
  • Psaltis D, Wagner K (1987). Multilayer optical learning networks. Applied optics, 26 (23), 5061–5076.
  • Zhou T, Fang L, Yan T, et al. In situ optical backpropagation training of diffractive optical neural networks. Photonics Res. 2020;8:940–953.
  • Zhou T, Lin X, Wu J, et al. (2021 may). Large-scale neuromorphic optoelectronic computing with a reconfigurable diffractive processing unit. Nat Photonics, 15, 367–373. Retrieved from http://www.nature.com/articles/s41566-021-00796-w
  • Antonik P, Marsal N, Brunner D, et al. (2021). Bayesian optimisation of large-scale photonic reservoir computers. Cognitive Computation. Retrieved from 10.1007/s12559-020-09732-6
  • Carolan J, Harrold C, Sparrow C, et al. (2015). Universal linear optics. Science, 349, 711–716. Retrieved from https://science.sciencemag.org/content/349/6249/711
  • Courbariaux M, Hubara I, Soudry D, et al. Binarized neural networks: training deep neural networks with weights and activations constrained to+ 1 or-1. In: arXiv preprint arXiv:1602.02830. 2016.
  • Hirtzlin T, Bocquet M, Penkovsky B, et al. Digital biologically plausible implementation of binarized neural networks with differential hafnium oxide resistive memory arrays. Front Neurosci. 2020;13:1383.
  • Bueno J, Brunner D, Soriano MC, et al. Conditions for reservoir computing performance using semiconductor lasers with delayed optical feedback. Opt Express. 2017;25:2401–2412.
  • Song S, Miller KD, Abbott LF. Competitive hebbian learning through spike- timing-dependent synaptic plasticity. Nat Neurosci. 2000;3:919–926.
  • Fok MP, Tian Y, Rosenbluth D, et al. Pulse lead/lag timing detection for adaptive feedback and control based on optical spike-timing-dependent plasticity. Opt Lett. 2013;38:419–421.
  • Toole R, Tait AN, De Lima TF, et al. Photonic implementation of spike-timing-dependent plasticity and learning algorithms of biological neural systems. J Lightwave Technol. 2015;34:470–476.
  • Toole R, Fok MP (2015). Photonic implementation of a neuronal learning algorithm based on spike timing dependent plasticity. In Optical fiber communication conference (pp. W1K–6), Los Angeles, CA.
  • Cisco. (n.d.). Cisco annual internet report - cisco annual internet report (20182023) white pa- per. https://www.cisco.com/c/en/us/solutions/collateral/executive-perspectives/annual-internet-report/white-paper-c11-741490html. (Accessed: 2021 Feb 17)
  • Pillai BSG, Sedighi B, Guan K, et al. End-to-end energy modeling and analysis of long-haul coherent transmission systems. J Lightwave Technol. 2014;32:3093–3111.
  • Agrell E, Karlsson M, Chraplyvy A, et al. Roadmap of optical communications. J Opt. 2016;18:063002.
  • Argyris A, Bueno J, Fischer I. Photonic machine learning implementation for signal recovery in optical communications. Sci Rep. 2018;8:1–13.
  • Zhang S, Yaman F, Nakamura K, et al. Field and lab experimental demonstration of nonlinear impairment compensation using neural networks. Nat Commun. 2019;10:1–8.
  • Peng H-T, Lederman J, Xu L, et al. A photonic-circuits-inspired compact network: toward real-time wireless signal classification at the edge. 2021.
  • Chagnon M. Optical communications for short reach. J Lightwave Technol. 2019;37:1779–1797.
  • Appeltant L, Soriano MC, Van der Sande G, et al. Information processing using a single dynamical node as complex system. Nat Commun. 2011;2:1–6.
  • Sorokina M, Sergeyev S, Turitsyn S. Fiber echo state network analogue for high-bandwidth dual-quadrature signal processing. Opt Express. 2019;27:2387–2395.
  • Da Ros F, Ranzini SM, Bülow H, et al. Reservoir-computing based equalization with optical pre-processing for short-reach optical transmission. IEEE J Sel Top Quantum Electron. 2020;26:1–12.
  • Li S, Pachnicke S. (2020). Photonic reservoir computing in optical transmission systems. In 2020 ieee photonics society summer topicals meeting series (sum) (pp. 1–2), Cabo San Lucas, Mexico.
  • Poisel R (2011). Modern communications jamming principles and techniques
  • Wilhelm M, Martinovic I, Schmitt JB, et al. (2011). Short paper: reactive jamming in wireless networks: how realistic is the threat? In Proceedings of the fourth acm conference on wireless network security (pp. 47–52), New York, NY, United States.
  • Bullock, Theodore H., Robert H. Hamstra, and Henning Scheich. “The jamming avoidance response of high frequency electric fish.” How do Brains Work?. Birkhäuser, Boston, MA, 1972. 509-534.
  • Scheich H. Neural basis of communication in the high frequency electric fish, eigen- mannia virescens (jamming avoidance response). J Comp Physiol. 1977;113:229–255.
  • Fok MP, Toole R (2018). Photonic implementation of jamming avoidance response. Google Patents. ( US Patent 9,954,619)
  • Toole R, Fok MP (2016). A photonic rf jamming avoidance response system bio-inspired by eigenmannia. In 2016 optical fiber communications conference and exhibition (ofc) (pp. 1–3), Anaheim, CA.
  • Capmany J, Novak D (2007, 06). Microwave photonics combines two worlds. Nat Photon, 1, 319–330. Retrieved from
  • Tait AN, De Lima TF, Ma PY, et al. (2018). Blind source separation in the physical layer. In 2018 52nd annual conference on information sciences and systems (ciss) pp. (1–6), Princeton, NJ, USA.
  • Marpaung D, Roeloffzen C, Heideman R, et al. Laser photonics rev. n.d.
  • Ambs P. Optical computing: a 60-year adventure. In: Advances in optical technologies. 2010.
  • Olshausen BA, Field DJ. Emergence of simple-cell receptive field properties by learning a sparse code for natural images. Nature. 1996;381:607–609.
  • Li X, Zhang G, Huang HH, et al. (2016, Aug). Performance analysis of GPU-based convolutional neural networks. In 2016 45th international conference on parallel processing (icpp) p. (67–76), Philadelphia PA , USA.
  • Jaderberg M, Vedaldi A, Zisserman A (2014). Speeding up convolutional neural networks with low rank expansions. CoRR, abs/1405.3866. Retrieved from http://arxiv.org/abs/1405.3866
  • Goodfellow I, Bengio Y, Courville A. Deep learning. The MIT Press; 2016.
  • Jouppi NP, Young C, Patil N, et al. (2017a). In-datacenter performance analysis of a tensor processing unit. In Proceedings of the 44th annual international symposium on computer architecture (p. 112). New York, NY, USA: Association for Computing Machinery. Retrieved from 10.1145/3079856.3080246
  • Deubel M, Von Freymann G, Wegener M, et al. Direct laser writing of three-dimensional photonic-crystal templates for telecommunications. Nat Mater. 2004;3:444–447.
  • Moughames J, Porte X, Thiel M, et al. Three-dimensional waveguide interconnects for scalable integration of photonic neural networks. Optica. 2020;7:640–646.
  • Dinc NU, Lim J, Kakkava E, et al. (2020). Computer generated optical volume elements by additive manufacturing. Nanophotonics, 1 ( ahead-of-print).
  • Dinc NU, Psaltis D, Brunner D. Optical neural networks: the 3d connection. Photoniques. 2020;34–38. 10.1051/photon/202010434
  • Hughes TW, Williamson IAD, Minkov M, et al. (2019). Wave phys- ics as an analog recurrent neural network. Sci Adv, 5 . Retrieved from https://advances.sciencemag.org/content/5/12/eaay6946
  • Molesky S, Lin Z, Piggott AY, et al. Inverse design in nanophotonics. Nat Photonics. 2018;12:659–670. Retrieved from.
  • Sorger VJ, Lanzillotti-Kimura ND, Ma R-M, et al. Ultra-compact silicon nanophotonic modulator with broadband response. Nanophotonics. 2012;1:17–22.
  • Amin R, Maiti R, George JK, et al. A lateral mos-capacitor-enabled ito mach–zehnder modulator for beam steering. J Lightwave Technol. 2020;38:282–290.
  • Amin R, Maiti R, Gui Y, et al. Sub- wavelength ghz-fast broadband ito mach–zehnder modulator on silicon photonics. Optica. 2020;7:333–335.
  • Cichocki A, Unbehauen R, Swiniarski RW. Neural networks for optimization and signal processing. Vol. 253. wiley New York; 1993.
  • Hopfield JJ, Tank DW. Computing with neural circuits: a model. Science. 1986;233:625–633.
  • Stewart TC, Eliasmith C. Large-scale synthesis of functional spiking neural circuits. Proc IEEE. 2014;102:881–898.
  • Stewart TC, DeWolf T, Kleinhans A, et al. Closed-loop neuromorphic benchmarks. Front Neurosci. 2015;9:464.
  • Skorin-Kapov N, Furdek M, Zsigmond S, et al. Physical-layer security in evolving optical networks. IEEE Commun Mag. 2016;54:110–117.
  • Fok MP, Wang Z, Deng Y, et al. Optical layer security in fiber-optic networks. IEEE Trans Inf Forensics Secur. 2011;6:725–736.
  • Wang Z, Prucnal PR. Optical steganography over a public dpsk channel with asynchronous detection. IEEE Photonics Technol Lett. 2010;23:48–50.
  • Wu B, Wang Z, Tian Y, et al. Optical steganography based on amplified spontaneous emission noise. Opt Express. 2013;21:2065–2071.
  • Rosenthal EI, Holt AL, Sweeney AM. Three-dimensional midwater camouflage from a novel two-component photonic structure in hatchetfish skin. J Royal Soc Interface. 2017;14:20161034.
  • Liu Q, Fok MP. Bio-inspired photonics–marine hatchetfish camouflage strategies for rf steganography. Opt Express. 2021;29:2587–2596.
  • Bhargav-Spantzel A, Squicciarini AC, Modi S, et al. Privacy preserving multi-factor authentication with biometrics. J Com Put Secur. 2007;15:529–560.
  • Bostian S. Rachet up reliability for mission-critical applications: intel® instruction replay technology. White Paper. 2013.
  • VLA Begins Huge Project of Cosmic Discovery. (n.d.). Retrieved 2021-03-07, from https://public.nrao.edu/news/vla-begins-huge-project/
  • Flurin E, Martin LS, Hacohen-Gourgy S, et al. Using a recurrent neural network to reconstruct quantum dynamics of a superconducting qubit from physical obser- vations. Phys Rev X. 2020;10:011006.
  • Torlai G, Mazzola G, Carrasquilla J, et al. Neural- network quantum state tomography. Nat Phys. 2018;14:447–450.
  • Niu MY, Boixo S, Smelyanskiy VN, et al. Universal quantum control through deep reinforcement learning. Npj Quantum Inf. 2019;5:1–8.
  • Duarte J, Han S, Harris P, et al. Fast inference of deep neural networks in fpgas for particle physics. J Instrum. 2018;13: P07027.