156
Views
0
CrossRef citations to date
0
Altmetric
Research Article

Chiplets for Integration of Electronic Systems

References

  • B. R. Tarun, AMD Explains the Economics Behind Chiplets for GPUs. TechPowerUp Online Portal, Nov. 2022.
  • Texas Instruments, Inc. CC2652RSIP: SimpleLink Multi-Protocol 2.4-GHz Wireless System-In-Package module with 352-KB memory. Available: https://www.ti.com/product/CC2652RSIP, Accessed on Dec 2023.
  • P. Ehrett, T. Austin, and V. Bertacco, “SiPterposer: A fault-tolerant substrate for flexible system-in-package design,” in Proceedings of Design Automation and Test in Europe, 2019.
  • P. Vivet, E. Guthmuller, Y. Thonnart, G. Pillonnet, C. Fuguet, I. Miro-Panades, G. Moritz, et al., “IntAct: A 96-core processor with six chiplets 3D-stacked on an active interposer with distributed interconnects and integrated power management,” IEEE. J. Solid-State Circuits, Vol. 56, no. 1, pp. 79–97, Jan. 2021. DOI:10.1109/JSSC.4
  • V. Vishvanath, C. P. Ravikuma and V. Menezes, “Design technology challenges in the sub-100 nanometer era,” in Proceedings of VLSI Design Conference, 2005.
  • E. Larsson and C. P. Ravikumar, “Power-aware system-level test planning,” in Power-Aware Testing and Test Strategies for Low Power Devices, Springer, 2009.
  • C. P. Ravikumar, M. Hirech and X. Wen, “Test strategies for low power devices,” in Proceedings of IEEE Design Automation and Test in Europe (DATE), 2008, pp. 728–733.
  • J. Durupt, P. Vivet, and J. Schloeffel, “IJTAG supported 3D DFT using chiplet-footprints for testing multi-chips active interposer system,” in 2016 21th IEEE European Test Symposium (ETS), Amsterdam, Netherlands, 2016.
  • English Wikipiedia, Wafer Scale Integration. Available: https://en.wikipedia.org/wiki/Wafer-scale_integration.
  • S. Moore, Cerebras' New Monster AI Chip Adds 1.4 Trillion Transistors, IEEE Spectrum, Apr. 2021.
  • P. Gupta and S. Iyer, Goodbye, Mother Board. Hello, Silicon Interconnect Fabric. IEEE Spectrum, 2019.
  • English Wikipedia. Multichip Modules. Available: https://en.wikipedia.org/wiki/Multi-chip_module.
  • M. Chen, K. Masumoto, S. Wu, and K. Wachtler. TI OMAP4 POP SMT Design Guideline, https://www.ti.com/lit/an/swpa182c/swpa182c.pdf.
  • A. Ramamurthy, Chiplet Technology & Heterogeneous Integration, Electronics Technology Workshop 2021, NASA, June 2021. Available: https://tinyurl.com/3ur7emka. Accessed on Apr 10, 2023.
  • R. Mahajan, R. Sankman, N. Patel, D. W. Kim, K. Aygun, Z. Qian, Y. Mekonnen, et al. “Embedded multi-die interconnect bridge (EMIB)–A high density, high bandwidth packaging interconnect,” in 2016 IEEE 66th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2016, pp. 557–565.
  • E. Beyne, D. Milojevic, G. Van der Plas and G. Beyer, “3D SoC integration, beyond 2.5D chiplets,” in 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2021.
  • L. Shan, “The bunch of wires (BoW)–an open-source physical interface enabling chiplet architectures,” in IEEE Electronic Packaging Society. Available: https://tinyurl.com/mr453aat.
  • D. Kehlet. “Accelerating innovation through a standard chiplet interface: The Advanced Interface Bus (AIB),” Retrieved Mar. 21, 2023, White Paper available from www.intel.com.
  • D. Das Sharma, Universal chiplet interconnect express: Building an open chiplet ecosystem. Retrieved Mar. 22, 2023. Available: https://www.uciexpress.org/.
  • M. Hutner, R. Sethuram, B. Vinnakota, D. Armstrong and A. Copperhall, “Special session: Test challenges in a chiplet marketplace,” in IEEE VLSI Test Symposium, 2020.
  • J. Kim, G. Murali, H. Park, E. Qin, H. Kwon, V. C. K. Chekuri, Rahman N. M., et al. “Architecture, chip, and package codesign flow for interposer-based 2.5-D chiplet integration enabling heterogeneous IP reuse,” IEEE Trans. VLSI Syst., Vol. 28, no. 11, pp. 2424–2437, Nov. 2020. DOI:10.1109/TVLSI.92
  • S. Moore, Linking Chiplets Just Got a Lot Easier, IEEE Spectrum, Nov. 2022.
  • J. H. Lau, “Recent advances and trends in advanced packaging,” IEEE Trans. Compon. Packag. Manuf. Technol., Vol. 12, no. 2, pp. 228–252, Feb. 2022. DOI:10.1109/TCPMT.2022.3144461
  • J. Park, “Chiplets and Heterogeneous Packaging Are Changing System Design and Analysis,” White Paper, Cadence Design Systems. Available: https://tinyurl.com/3f2j7dc7, Accessed on Apr 8, 2023.
  • M. A. Kabir and Y. Peng, “Chiplet-package co-Design for 2.5D systems using standard ASIC CAD tools,” in 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), Beijing, China, 2020.
  • V. Sahula and C. P. Ravikumar, “Yield oriented design planning for MCM based systems,” in IMAPS International conference on Emerging Microelectronic & Interconnection Technology (EMIT-2000), Bengaluru.
  • S. Abdennadher, “Testing inter-chiplet communication interconnects in a disaggregated SoC design,” in 2021 IEEE International Conference on Design & Test of Integrated Micro & Nano-Systems (DTS), Sfax, Tunisia, 2021.
  • H. Reiter, DAC 2020 Addresses Chiplet Design and Integration. Available: https://tinyurl.com/2p837yd3. Accessed on Apr 13, 2023.
  • M. Zhou, L. Li, F. Hou, G. He and J. Fan, “Thermal modeling of a chiplet-based packaging with a 2.5-D through-silicon via interposer,” IEEE Trans. Compon. Packag. Manuf. Tech., Vol. 12, no. 6, pp. 956–963, Jun. 2022. DOI:10.1109/TCPMT.2022.3174608
  • A. Jain, R. E. Jones, Ritwik Chatterjee, S. Pozder, and Zhihong Huang, “Thermal modeling and design of 3D integrated circuits,” in 2008 11th Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, Orlando, FL, 2008, pp. 1139–1145.
  • M. Sunohara, T. Tokunaga, T. Kurihara, and M. Higashi, “Silicon interposer with TSVs (Through Silicon Vias) and fine multilayer wiring,” in 2008 58th Electronic Components and Technology Conference, Lake Buena Vista, FL, USA, 2008, pp. 847–852.
  • W. J. Dally and B. Towles, “Route packets, not wires: on-chip interconnection networks,” in Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), Las Vegas, NV, USA, 2001, pp. 684–689.
  • N. Agrawal and C. P. Ravikumar, “Fault-tolerant routing in multiply twisted cube topology,” J. Syst. Archit., Vol. 42, no. 4, pp. 279–288, Nov. 1996. DOI:10.1016/1383-7621(96)00008-2
  • N. Agrawal and C. P. Ravikumar, “Adaptive routing techniques for high reliability in multiprocessor interconnection networks,” IETE Tech. Rev., Vol. 12, no. 3, pp. 191–203, 1995. DOI:10.1080/02564602.1995.11416527
  • C. P. Ravikumar and A. M. Goel, “Deadlock-free wormhole routing algorithms for star graph topology, in IEE Proceedings, Nov. 1995, 395–400.
  • J. Yin, Z. Lin, O. Kayiran, M. Poremba, M. S. B. Altaf, N. E. Jerger and G. H. Loh, “Modular routing design for chiplet-based systems,” in 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA), Los Angeles, CA, USA, 2018, pp. 726–738.
  • V. Vasudevan, Elmore Delay model for RC Trees. Available: https://www.ee.iitm.ac.in/vinita/pdf/digic/elmore.pdf.
  • English Wikipedia. Elmore Delay. Available: https://en.wikipedia.org/wiki/Elmore_delay.
  • L. Guo, W. Xu and H. Wang, “Exploiting signal skew to reduce delay uncertainty for chiplet interconnects, ”IEICE Electron. Express, Vol. 20, no. 13, pp. 1–6, 2023.
  • Y. Ismail and E. G. Friedman, “Effects of inductance on the propagation delay and repeater insertion in VLSI circuits,” IEEE Trans. Very Large Scale Integr. Syst., Vol. 8, no. 2, pp. 195–206, 2000. DOI:10.1109/92.831439
  • M. A. Kabir, D. Petranovic and Y. Peng, “Cross-boundary inductive timing optimization for 2.5D chiplet-package co-design,” in Great Lakes VLSI Symposium (GSVLSI), Jun. 2021.
  • V. Subramanian and C. P. Ravikumar, “Estimating crosstalk from VLSI layouts,” in Proceedings of the VLSI Design Conference, 2001.
  • K. Hirose and H. Yasuura, “A bus delay reduction technique considering crosstalk,” in Proceedings of ACM/IEEE Design Automation Conference, 2000.
  • K.-N. Chen, “Hybrid bonding: The key technology to reach fine pitch and high density stacking in heterogeneous integration,” in 2023 International VLSI Symposium on Technology, Systems and Applications (VLSI-TSA/VLSI-DAT), HsinChu, Taiwan, 2023, pp. 1–1.
  • D.-H. Heo, A. Parker and C. P. Ravikumar, “Rapid synthesis of multi-chip systems,” in Proceedings Tenth International Conference on VLSI Design, Hyderabad, India, 1997, pp. 62–68.
  • D.-H. Heo, A. C. Parker and C. P. Ravikumar, “An evolutionary approach to system redesign,” in Proceedings Eleventh International Conference on VLSI Design, Chennai, India, 1998.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.