50
Views
1
CrossRef citations to date
0
Altmetric
Articles

A linear complexity algorithm for the automatic generation of convex multiple input multiple output instructions

, &
Pages 603-619 | Received 01 Nov 2007, Accepted 01 Feb 2008, Published online: 27 Jul 2010

References

  • Alippi , C , Fornaciari , W , Pozzi , L and Sami , M . 1999 . A Dag-based Design Approach for Reconfigurable Vliw Processors . DATE '99: Proceedings of the conference on Design, automation and test in Europe . 1999 . pp. 778 – 779 . Munich, , Germany : ACM Press .
  • Atasu , K , Pozzi , L and Ienne , P . 2003 . Automatic Application-specific Instruction-set Extensions Under Microarchitectural Constraints . DAC '03: Proceedings of the 40th Conference on Design Automation . 2003 . pp. 256 – 261 . Anaheim, CA, , USA : ACM Press .
  • Atasu , K , Dündar , G and Özturan , C . 2005 . An integer Linear Programming Approach for Identifying Instruction-set Extensions . CODES+ISSS '05: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/software Codesign and System Synthesis . 2005 . pp. 172 – 177 . Jersey City, NJ, , USA : ACM Press .
  • Baleani , M , Gennari , F , Jiang , Y , Patel , Y , Brayton , RK and Sangiovanni-Vincentelli , A . 2002 . Hw/Sw Partitioning and Code Generation of Embedded Control Applications on a Reconfigurable Architecture Platform . CODES '02: Proceedings of the Tenth International Symposium on Hardware/software Codesign . 2002 . pp. 151 – 156 . Estes Park, , Colorado : ACM Press .
  • Brisk , P , Kaplan , A , Kastner , R and Sarrafzadeh , M . 2002 . Instruction Generation and Regularity Extraction for Reconfigurable Processors . CASES '02: Proceedings of the 2002 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems . 2002 . pp. 262 – 269 . Grenoble, , France : ACM Press .
  • Choi , H , Kim , J-S , Yoon , C-W , Park , I-C , Hwang , SH and Kyung , C-M . 1999 . Synthesis of Application Specific Instructions for Embedded DSP Software . IEEE Trans. Comput. , 48 : 603 – 614 .
  • Clark , N , Zhong , H and Mahlke , S . 2003 . Processor Acceleration Through Automated Instruction Set Customisation . MICRO 36: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture . 2003 . pp. 129 – 140 . Washington, DC, , USA : IEEE Computer Society .
  • Cong , J , Fan , Y , Han , G and Zhang , Z . 2004 . Application-specific Instruction Generation for Configurable Processor Architectures . FPGA '04: Proceedings of the 2004 ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays . 2004 . pp. 183 – 189 . Monterey, California, , USA : ACM Press .
  • Galuzzi , C , Panainte , EM , Yankova , Y , Bertels , K and Vassiliadis , S . 2006 . Automatic Selection of Application-specific Instruction-set Extensions . CODES+ISSS '06: Proceedings of the 4th international Conference on Hardware/Software Codesign and System Synthesis . 2006 . pp. 160 – 165 . Seoul, , Korea : ACM Press .
  • Goodwin , D and Petkov , D . 2003 . Automatic Generation of Application Specific Processors . CASES '03: Proceedings of the 2003 International Conference on Compilers, Architecture and Synthesis for Embedded Systems . 2003 . pp. 137 – 147 . San Jose, California, , USA : ACM Press .
  • Kastner , R , Ogrenci-Memik , S , Bozorgzadeh , E and Sarrafzadeh , M . 2001 . Instruction Generation for Hybrid Reconfigurable Systems . ICCAD '01: Proceedings of the 2001 IEEE/ACM International Conference on Computer-aided Design . 2001 . pp. 127 – 130 . San Jose, , California : IEEE Press .
  • Lee , C , Potkonjak , M and Mangione-Smith , WH . 1997 . Mediabench: a Tool for Evaluating and Synthesising Multimedia and Communicatons Systems . MICRO 30: Proceedings of the 30th Annual ACM/IEEE International Symposium on Microarchitecture . 1997 . pp. 330 – 335 . Research Triangle Park, , North Carolina, United States : IEEE Computer Society .
  • Sun , F , Ravi , S , Raghunathan , A and Jha , NK . 2002 . Synthesis of Custom Processors Based on Extensible Platforms . ICCAD '02: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design . 2002 . pp. 641 – 648 . San Jose, , California : ACM Press .
  • Vassiliadis , S , Wong , S , Gaydadjiev , G , Bertels , K , Kuzmanov , G and Panainte , EM . 2004 . The MOLEN Polymorphic Processor . IEEE Trans. Comput. , 53 : 1363 – 1375 .
  • Yu , P and Mitra , T . 2004 . Scalable custom instructions identification for instruction-set extensible processors . CASES '04: Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems . 2004 . pp. 69 – 78 . Washington, DC, , USA : ACM Press .

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.