127
Views
3
CrossRef citations to date
0
Altmetric
Articles

A miniature high-efficiency fully digital adaptive voltage scaling buck converter

, , , , , & show all
Pages 1520-1534 | Received 04 Sep 2013, Accepted 20 Apr 2014, Published online: 08 Jan 2015

References

  • Abdallah, R. A., & Shanbhag, N. R. (2010). Minimum-energy operation via error resiliency. IEEE Embedded Systems Letters, 2, 115–118. doi:10.1109/LES.2010.2098330
  • Barai, M., Sengupta, S., & Biswas, J. (2010). Digital controller for DVS-enabled DC–DC converter. IEEE Transactions Power Electronic, 25, 557–573. doi:10.1109/TPEL.2009.2030195
  • Burd, T. D., Pering, T. A., Stratakos, A. J., & Brodersen, R. W. (2000). A dynamic voltage scaled microprocessor system. IEEE Journal of Solid-State Circuits, 35, 1571–1580. doi:10.1109/4.881202
  • Das, S., Tokunaga, C., Pant, S., Ma, W.-H., Kalaiselvan, S., Lai, K., … Blaauw, D. T. (2009). RazorII: In situ error detection and correction for PVT and SER tolerance. IEEE Journal of Solid-State Circuits, 44, 32–48. doi:10.1109/JSSC.2008.2007145
  • Devlin, B., Ikeda, M., & Asada, K. (2011, August 1–3). Energy minimum operation in a reconfigurable gate-level pipelined and power-gated self synchronous FPGA. In IEEE/ACM international symposium on low power electronics and design, Fukuoka (pp. 3–8).IEEE. doi:10.1109/ISLPED.2011.5993594
  • Dhar, S., & Mortensen, G. (2005, April 7–8). Closed-loop adaptive supply voltage scaling controller for low-power embedded processors. In IEEE region 5 and IEEE Denver section technical, professional and student development workshop (pp. 6–11). IEEE.
  • Drake, A., Senger, R., Deogun, H., Carpenter, G., Ghiasi, S., Nguyen, T., & Pokala, V. (2007). A distributed critical-path timing monitor for a 65nm high-performance microprocessor. In IEEE international solid state circuits conference digest technical papers (pp. 398–399). IEEE.
  • Elgebaly, M., & Sachdev, M. (2007). Variation-aware adaptive voltage scaling system. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15, 560–571. doi:10.1109/TVLSI.2007.896909
  • Fojtik, M., Fick, D., Kim, Y., Pinckney, N., Harris, D. M., Blaauw, D., & Sylvester, D. (2013). Bubble Razor: Eliminating timing margins in an ARM cortex-M3 processor in 45 nm CMOS using architecturally independent error detection and correction. IEEE Journal of Solid-State Circuits, 48, 66–81. doi:10.1109/JSSC.2012.2220912
  • Hanson, S., Zhai, B., Bernstein, K., Blaauw, D., Bryant, A., Chang, L., … Sylvester, D. M. (2006). Ultralow-voltage, minimum-energy CMOS. IBM Journal of Research and Development, 50, 469–490. doi:10.1147/rd.504.0469
  • Ikenaga, Y., Nomura, M., Suenaga, S., Sonohara, H., Horikoshi, Y., Saito, T., … Mizuno, M. (2012). A 27% active-power-reduced 40-nm CMOS multimedia SoC with adaptive voltage scaling using distributed universal delay lines. IEEE Journal of Solid-State Circuits, 47, 832–840. doi:10.1109/JSSC.2012.2185340
  • Kang, W., Kim, Y.-B., & Doyle, T. (2006). A high-efficiency fully digital synchronous buck converter power delivery system based on a finite-state machine. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14, 229–240. doi:10.1109/TVLSI.2006.871764
  • Kapat, S., Patra, A., & Banerjee, S. (2011). Achieving monotonic variation of spectral composition in DC–DC converters using pulse skipping modulation. IEEE Transactions on Circuits and Systems I: Regular Papers, 58, 1958–1966. doi:10.1109/TCSI.2011.2106052
  • Kim, K., & Agrawal, V. D. (2011). True minimum energy design using dual below-threshold supply voltages. In 24th international conference on VLSI design (pp. 292–297). IEEE.
  • Kuroda, T., Suzuki, K., Mita, S., Fujita, T., Yamane, F., Sano, F., … Furuyama, T. (1998). Variable supply-voltage scheme for low-power high-speed CMOS digital design. IEEE Journal of Solid-State Circuits, 33, 454–462. doi:10.1109/4.661211
  • Lee, H.-W., Kim, K.-H., Choi, Y.-K., Sohn, J.-H., Park, N.-K., Kim, K.-W., … Chung, B.-T. (2012). A 1.6 V 1.4 Gbp/s/pin consumer DRAM with self-dynamic voltage scaling technique in 44 nm CMOS technology. IEEE Journal of Solid-State Circuits, 47, 131–140. doi:10.1109/JSSC.2011.2164710
  • Lee, Y.-H., Chiu, C.-C., Peng, S.-Y., Chen, K.-H., Lin, Y.-H., Lee, C.-C., … Tsai, T.-Y. (2012). A near-optimum dynamic voltage scaling (DVS) in 65-nm energy-efficient power management with frequency-based control (FBC) for SoC system. IEEE Journal of Solid-State Circuits, 47, 2563–2575. doi:10.1109/JSSC.2012.2211671
  • Lee, Y.-H., Huang, S.-C., Wang, S.-W., Wu, W.-C., Huang, P.-C., Ho, H.-H., … Chen, K.-H. (2012). Power-tracking embedded buck–boost converter with fast dynamic voltage scaling for the SoC system. IEEE Transactions Power Electronic, 27, 1271–1282. doi:10.1109/TPEL.2010.2101085
  • Luo, P., Luo, L., Zhaoji, L., Yang, J., & Chen, G. (2002). Skip cycle modulation in switching DC-DC converter. In IEEE international conference on communications, circuits and systems and West Sino expositions (pp. 1716–1719). IEEE.
  • Ma, D., & Bondade, R. (2010). Enabling power-efficient DVFS operations on silicon. IEEE Circuits Systems Magazine, 10, 14–30. doi:10.1109/MCAS.2009.935693
  • Markovic, D., Wang, C. C., Alarcon, L. P., Liu, T.-T., & Rabaey, J. M. (2010). Ultralow-power design in near-threshold region. Proceedings IEEE, 98, 237–252. doi:10.1109/JPROC.2009.2035453
  • Nakai, M., Akui, S., Seno, K., Meguro, T., Seki, T., Kondo, T., … Shimura, M. (2005). Dynamic voltage and frequency management for a low-power embedded microprocessor. IEEE Journal of Solid-State Circuits, 40, 28–35. doi:10.1109/JSSC.2004.838021
  • Nowka, K. J., Carpenter, G. D., MacDonald, E. W., Ngo, H. C., Brock, B. C., Ishii, K. I., … Burns, J. L. (2002). A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling. IEEE Journal of Solid-State Circuits, 37, 1441–1447. doi:10.1109/JSSC.2002.803941
  • Qin, M., & Xu, J. (2010). Multiduty ratio modulation technique for switching DC–DC converters operating in discontinuous conduction mode. IEEE Transactions Industrial Electronic, 57, 3497–3507. doi:10.1109/TIE.2009.2038344
  • Ramadass, Y. K., & Chandrakasan, A. P. (2008). Minimum energy tracking loop with embedded DC–DC converter enabling ultra-low-voltage operation down to 250 mV in 65 nm CMOS. IEEE Journal of Solid-State Circuits, 43, 256–265. doi:10.1109/JSSC.2007.914720
  • Wei, G.-Y., & Horowitz, M. (1999). A fully digital, energy-efficient, adaptive power-supply regulator. IEEE Journal of Solid-State Circuits, 34, 520–528. doi:10.1109/4.753685
  • Zhen, S., Zhu, X., Luo, P., He, Y., & Zhang, B. (2013). Digital error corrector for phase lead-compensated buck converter in DVS applications. IEEE Transactions on Very Large Scale Integration (VLSI) systems, 21, 1747–1751. doi:10.1109/TVLSI.2012.2217513

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.