176
Views
6
CrossRef citations to date
0
Altmetric
Articles

Analysis of power gating in different hierarchical levels of 2MB cache, considering variation

, &
Pages 1594-1608 | Received 02 Jul 2013, Accepted 14 Jun 2014, Published online: 26 Jan 2015

References

  • Anis, M., Mahmoud, M., Elmasry, M., & Areibi, S. (2002). Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique. In Proceedings of the 39th annual design automation conference (pp. 480–485). ACM.
  • Calimera, A., Macii, E., & Poncino, M. (2009). NBTI-aware power gating for concurrent leakage and aging optimization. In Proceedings of the 14th ACM/IEEE international symposium on low power electronics and design (pp. 127–132). ACM.
  • Chang, M.-F., Chang, S.-W., Chou, P.-W., & Wu, W.-C. (2011). A 130 mV SRAM with expanded write and read margins for subthreshold applications. IEEE Journal of Solid-State Circuits, 46, 520–529. doi:10.1109/JSSC.2010.2091321
  • Chen, Z., Wang, G., & Dong, C. (2011). Multiple voltage assignment based on PSO. In International conference on computer science and network technology (ICCSNT) (Vol. 2, pp. 1295–1298). IEEE.
  • Dighe, S., Vangal, S., Aseron, P., Kumar, S., Jacob, T., Bowman, K., … Borkar, S. (2010). Within-die variation-aware dynamic-voltage-frequency scaling core mapping and thread hopping for an 80-core processor. In Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2010 IEEE International (pp. 174–175). IEEE.
  • Do, A.-T., Kong, Z.-H., Yeo, K.-S., & Low, J. Y. S. (2011). Design and sensitivity analysis of a new current-mode sense amplifier for low-power SRAM. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19, 196–204. doi:10.1109/TVLSI.2009.2033110
  • Holtij, T., Schwarz, M., Kloes, A., & Iñíguez, B. (2013). Threshold voltage, and 2D potential modeling within short-channel junctionless DG MOSFETs in subthreshold region. Solid-State Electronics, 90, 107–115. doi:10.1016/j.sse.2013.02.044
  • Ibrahim, M. E. A., Rupp, M., & Fahmy, H. A. H. (2011). A precise high-level power consumption model for embedded systems software. EURASIP Journal on Embedded Systems, 2011(1), 1–14. doi:10.1155/2011/480805
  • Jafari, M., & Imani, M. (2014). Design a new stable and low power bandgap reference circuit based on Fin-FET device. International Journal of Technology Enhancements and Emerging Engineering, 2, 41–46.
  • Jafari, M., Imani, M., Ansari, M., Fathipour, M., & Sehatbakhsh, N. (2013). Design of an ultra-low power 32-bit adder operating at subthreshold voltages in 45-nm FinFET. In 8th international conference on design & technology of integrated systems in nanoscale era (DTIS) (pp. 167–168). Dubie: IEEE.
  • Jafari, M., Imani, M., Fathipour, M., & Sehatbakhsh, N. (2013). Bottom-up design of a high performance ultra-low power DFT utilizing multiple-VDD, multiple-Vth and gate sizing. In 8th international conference on design & technology of integrated systems in nanoscale era (DTIS) (pp. 178–179). Dubie: IEEE.
  • Kim, K., Takeda, S., Miwa, S., & Nakamura, H. (2012). A novel power-gating scheme utilizing data retentiveness on caches. In Proceedings of the great lakes symposium on VLSI (pp. 91–94). ACM.
  • Kim, T.-H., Liu, J., & Kim, C. H. (2009). A voltage scalable 0.26 V, 64 kb 8T SRAM with V lowering techniques and deep sleep mode. IEEE Journal of Solid-State Circuits, 44(6), 1785–1795.
  • Krihely, N., Ben-Yaakov, S., & Fish, A. (2013). Efficiency optimization of a step-down switched capacitor converter for subthreshold. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21(12), 2353–2357.
  • Kulkarni, J. P., Kim, K., & Roy, K. (2007). A 160 mV robust Schmitt trigger based subthreshold SRAM. IEEE Journal of Solid-State Circuits, 42, 2303–2313. doi:10.1109/JSSC.2007.897148
  • Lee, C.-Y., & Jha, N. K. (2011). CACTI-FinFET: An integrated delay and power modeling framework for FinFET-based caches under process variations. In Proceedings of the 48th design automation conference (pp. 866–871). ACM.
  • Liu, Z., & Kursun, V. (2008). Characterization of a novel nine-transistor SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16, 488–492. doi:10.1109/TVLSI.2007.915499
  • Mishra, P., Muttreja, A., & Jha, N. K. (2011). FinFET circuit design. In Nanoelectronic circuit design (pp. 23–54). New York, NY: Springer.
  • Nandi, A., Saxena, A. K., & Dasgupta, S. (2012). Impact of dual-k spacer on analog performance of underlap FinFET. Microelectronics Journal, 43, 883–887. doi:10.1016/j.mejo.2012.06.001
  • Park, H., Song, S., Woo, S., Abu-Rahma, M., Ge, L., Kang, M., & Han, B. M. (2010). Accurate projection of Vccmin by modeling “dual slope” in FinFET based SRAM, and impact of long term reliability on end of life Vccmin. In Reliability physics symposium (IRPS), 2010 IEEE international (pp. 1008–1013). IEEE.
  • Pei, G., Kedzierski, J., Oldiges, P., Ieong, M., & Kan, E.-C.-C. (2002). FinFET design considerations based on 3-D simulation and analytical modeling. IEEE Transactions on Electron Devices, 49, 1411–1419. doi:10.1109/TED.2002.801263
  • Rabaey, J. M., Chandrakasan, A., & Nikolic, B. (2003). Digital integrated circuits. Upper Saddle River, NJ: Prentice Hall.
  • Raj, B., Saxena, A., & Dasgupta, S. (2011). Nanoscale FinFET based SRAM cell design: Analysis of performance metric, process variation, underlapped FinFET, and temperature effect. IEEE Circuits and Systems Magazine, 11, 38–50. doi:10.1109/MCAS.2011.942068
  • Sakurai, T., & Newton, A. R. (1990). Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE Journal of Solid-State Circuits, 25, 584–594. doi:10.1109/4.52187
  • Swanson, R. M., & Meindl, J. D. (1972). Ion-implanted complementary MOS transistors in low-voltage circuits. IEEE Journal of Solid-State Circuits, 7, 146–153. doi:10.1109/JSSC.1972.1050260
  • Wang, M.-C., Du, C.-K., Peng, M.-R., Wang, S.-J., Chen, S.-Y., Liu, C.-H., & Lee, S.-C. (2013). Trend of subthreshold swing with DPN process for 28nm N/PMOSFETs. In IEEE international symposium on next-generation electronics (ISNE), (pp. 389–392). IEEE.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.