522
Views
8
CrossRef citations to date
0
Altmetric
Original Articles

Emerging interconnects: a state-of-the-art review and emerging solutions

&
Pages 1107-1119 | Received 14 Jan 2016, Accepted 02 Jan 2017, Published online: 01 Mar 2017

References

  • Banerjee, K., Im, S., & Srivastava, N., (2005). Interconnect modeling and analysis in the nanometer era: Cu and beyond. Proceedings of the 22nd Advanced Metallization Conference, Colorado Springs, CO. ​​​​​​​
  • Banerjee, K., & Srivastava, N., (2006). Are carbon nanotubes the future of VLSI interconnections? 43rd ACM IEEE DAC Conference Proceedings, San Francisco, CA. doi: 10.1109/DAC.2006.229330
  • Buchanan, K., (2002). The evolution of interconnect technology for silicon integrated circuitry. GaAsMANTECH Conference, San Diego, California, U.S.A.
  • Chen, G., Chen, H., Haurylau, M., Nelson, N. A., Fauchet, P. M., Friedman, E. G., & Albonesi, D. H. (2007a). Predictions of CMOS compatible on-chip optical interconnect. Integration, the VLSI Journal, 40(4),434–446. doi: 10.1016/j.vlsi.2006.10.001 ​​​​​​​
  • Chen, Z., Lin, Y., Rooks, M. J., & Avouris, P. (2007b). Graphene nano-ribbon electronics. Physica E: Low-Dimensional Systems and Nanostructures, 40(2), 228–232. doi:10.1016/j.physe.2007.06.020
  • Cho, H., Koo, K. H., Kapur, P., & Saraswat, K. C., (2007). The delay, energy, and bandwidth comparisons between copper, carbon nanotube, and optical interconnects for local and global wiring application. IEEE International Interconnect Technology Conference, Burlingame, CA. doi:10.1109/IITC.2007.382375
  • Cui, J. P., Zhao, W. S., & Yin, W. Y. (2012). Signal transmission analysis of multilayer graphene nano-ribbon (MLGNR) interconnects. IEEE Transactions Electromagnetic Compatibility, 54(1), 126–132. doi:10.1109/TEMC.2011.2172947
  • Das, D., & Rahaman, H. (2015). Carbon nanotube and graphene nanoribbon interconnects (pp. 1–8). New York: CRC press, Taylor and Francis group. ​​​​​​​
  • Eachempati, S., Nieuwoudt, A., Gayasen, A., Vijaykrishnan, N., & Massoud, Y., (2007). Assessing carbon nanotube bundle interconnect for future FPGA architectures. Design, Automation & Test in Europe Conference & Exhibition EDAA, Nice.
  • Frank, W., Tanenbaum, D. M., Van Der Zande, A. M., & McEuen, P. L. (2007). Mechanical properties of suspended graphene sheets. Journal Vac Sciences Technological B, 25(6), 2558–2561. doi:10.1116/1.2789446
  • Gambino, J., Chen, F., & He, J., (2009). Copper interconnect technology for the 32 nm node and beyond. IEEE Custom Integrated Circuits Conference (CICC), San Jose, CA. doi:10.1109/CICC.2009.5280904
  • Gupta, T. K. (1979). Aluminium alloy as an interconnecting material in the fabrication of integrated circuits. Microelectronics Reliability, 19(4), 337–343. doi:10.1016/0026-2714(79)90150-1
  • Gupta, T. K. (2009). Copper interconnect technology (pp. 1–55). Springer-Verlag, New York: Springer Science+Business Media. doi: 10.1007/978-1-4419-0076-0 ​​​​​​​
  • Haurylau, M., Chen, G., Chen, H., Zhang, J., Nelson, N. A., Albonesi, D. H., … Fauchet, P. M. (2006). On-chip optical interconnect roadmap: Challenges and critical directions. IEEE Journal of Selected Topics in Quantum Electronics, 12(6), 1699–1705. doi:10.1109/JSTQE.2006.880615
  • Hayashi, Y., (2004). Structure evolution in ULSI interconnects toward 65nm/45nm-nodes ASICs. IEEE 7th International Conference on Solid-State and Integrated Circuits Technology, 1, 48–485. doi: 10.1109/ICSICT.2004.1435052
  • Hu, C. K., & Harper, J. M. E. (1998). Copper interconnections and reliability. Materials Chemistry and Physics, 52(1), 5–16. doi:10.1016/S0254-0584(98)80000-X
  • International Technology Roadmap For Semiconductors. (2011). Interconnect summary. Retrieved from: http://www.itrs2.net/ ​​​​​​​
  • International Technology Roadmap For Semiconductors. (2013). Interconnect summary. Retrieved from: http://www.itrs2.net/ ​​​​​​​
  • Joshi, A., & Soni, G. (2016). A comparative analysis of copper and carbon nanotubes based global interconnects. Proceedings of Fifth International Conference on Soft Computing for Problem Solving, Advances in Intelligent Systems and Computing, 436, 237–425. doi: 10.1007/978-981-10-0448-3_35
  • Kan, E., Li, Z., & Yang, J. (2011). Graphene nanoribbons: Geometric, electronic, and magnetic properties. In Sergey Li (Ed.), Physics and applications of graphene-theory, Intech open (pp. 331–348). doi:10.5772/14112 ​​​​​​​
  • Kaushik, B. K., Goel, S., & Rauthan, G. (2007). Future VLSI interconnects: Optical fiber or carbon nanotube - a review. Microelectronics International, 24(2), 53–63. doi:10.1108/13565360710745601
  • Kaushik, B. K., & Majumder, M. K. (2015). Carbon nanotube based VLSI interconnects: Analysis and design (pp. 1–14). Springer India: SpringerBriefs in Applied Sciences and Technology. doi:10.1007/978-81-322-2047-3_1 ​​​​​​​
  • Kreupl, F., Graham, A. P., Duesberg, G. S., Steinhogl, W., Liebau, M., Unger, E., & Honlein, W. (2002). Carbon nanotubes in interconnect applications. Microelectronic Engineering, 64(1–4), 399–408. doi:10.1016/S0167-9317(02)00814-6
  • Kureshi, A. K., & Hasan, M. (2009). Analysis of CNT bundle and its comparison with copper interconnect for CMOS and CNFET drivers. Journal of Nanomaterials, 1–6. doi:10.1155/2009/486979
  • Lee, C., Wei, X., Kysar, J. W., & Hone, J. (2008). Measurement of the elastic properties and intrinsic strength of monolayer graphene. Science, 321(5887), 385–388. doi:10.1126/science.1157996
  • Lee, Y. K., Fujimura, N., Higashi, K., & Ito, T. (1991). A candidate for interconnection material; Al-Y alloy thin films. Elsevier - Materials Letters, 10(7–8), 344–347. doi:10.1016/0167-577X(91)90150-5
  • Li, H., Xu, C., Srivastava, N., & Banerjee, K. (2009). Carbon nano materials for next-generation interconnects and passives: Physics, status, and prospects. IEEE Transactions on Electron Devices, 56(9), 1799–1821. doi:10.1109/TED.2009.2026524
  • Majumder, M. K., Kaushik, B. K., & Manhas, S. K. (2011). A comparative analysis of single walled CNT bundle and multi walled CNT as future global VLSI interconnects. International Journal of Computer Application (IJCA), 2(6), 32–38.
  • Majumder, M. K., Kaushik, B. K., & Manhas, S. K. (2014). Analysis of delay and dynamic crosstalk in bundled carbon nanotube interconnects. IEEE Transactions on Electromagnetic Compatibility, 56(6), 1666–1673. doi:10.1109/TEMC.[::2014::].2318017
  • Majumder, M. K., Kukkam, N. K., & Kaushik, B. K. (2014). Frequency response and bandwidth analysis of multi-layer graphene nanoribbon and multi-walled carbon nanotube interconnects. Micro & Nano Letters, IET, 9(9), 557–560. doi:10.1049/mnl.2013.0742
  • Majumder, M. K., Pandya, N. D., Kaushik, B. K., & Manhas, S. K., (2012a). Analysis of crosstalk delay and area for MWNT and bundled SWNT in global VLSI interconnects. 13th International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, 291–297. doi:10.1109/ISQED.2012.6187508
  • Majumder, M. K., Pandya, N. D., Kaushik, B. K., & Manhas, S. K. (2012b). Analysis of MWCNT and bundled SWCNT interconnects: Impact on crosstalk and area. IEEE Electron Device Letters, 33(8), 1180–1182. doi:10.1109/LED.2012.2200872
  • Mekala, G. K., Agrawal, Y., & Chandel, R. (2016). Carbon nanotube interconnects - A promising solution for VLSI circuits. IETE Journal of Education, 57(2), 46–64. doi:10.1080/09747338.2016.1158129
  • Merchant, S. M., Kang, S. H., Sanganeria, M., Van Schravendijk, B., & Mountsier, T. (2001). Copper interconnects for semiconductor devices. Microelectronic Processing JOM, 53(6), 43–48. doi:10.1007/s11837-001-0103-y
  • Murali, R., Brenner, K., Yang, Y., Beck, T., & Meindl, J. D. (2009). Resistivity of graphene nanoribbon interconnects. IEEE Electron Device Letters, 30(6), 611–613. doi:10.1109/LED.2009.2020182
  • Murugeswari, P, Kabilan, A. P, Rohini, S, & Pavithra, P. (2015). Analysis of carbon nano structures for on-chip interconnect application. arpn journal of engineering and applied sciences. 10(6), 2702-2706.
  • Murugeswari, P., Kabilan, A. P., Vaishnavi, M., & Divya, C., (2014). Performance analysis of single-walled carbon nanotube and multi-walled carbon nanotube in 32nm technology for on-chip interconnect applications. IEEE 5th International Conference on Computing, Communication and Networking Technologies (ICCCNT), Hefei, 1–6. doi:10.1109/ICCCNT.2014.6963141
  • Naeemi, A., & Meindle, J. D. (2007). Design and performance modelling for single-wall carbon nanotubes as local, semi-global and global interconnects in giga scale integrated systems. IEEE Transactions on Electron Devices, 54(1), 26–37. doi:10.1109/TED.2006.887210
  • Naeemi, A., Sarvari, R., & Meindl, J. D. (2004). Performance comparison between carbon nanotube and copper interconnects for GSI. IEEE electron devices meeting. IEDM Technical Digest, 699–702. doi:10.1109/IEDM.2004.1419265
  • O’Connor, I., & Gaffiot, F. (2004). Advanced research in on-chip optical interconnects. In C. Piguet (Ed.), Lower power electronics and design. Boca Raton, FL: CRC Press.
  • Pable, S. D., Hasan, Z. H. M., Abbasi, S. A., & Alamoud, A. R. M. (2013). Interconnect optimization to enhance the performance of subthreshold circuits. Microelectronics Journal, 44(5), 454–461. doi:10.1016/j.mejo.2013.01.014
  • Parihar, T., & Sharma, A. (2013). A comparative study of mixed CNT bundle with copper for VLSI Interconnect at 32nm. International Journal of Engineering Trends and Technology (IJETT), 4(4), 1145–1150.
  • Rakheja, S., Kumar, V., & Naeemi, A. (2013). Evaluation of the potential performance of graphene nano-ribbons as on-chip interconnects. Proceedings of IEEE, 101(7), 1740–1765. doi:10.1109/JPROC.[::2013::].2260235
  • Robertson, J., Zhong, G., Esconjauregui, S., Zhang, C., & Hofmann, S. (2013). Synthesis of carbon nanotubes and graphene for VLSI interconnects. Microelectronic Engineering, 107, 210–218. doi:10.1016/j.mee.2012.08.010
  • Saini, S. (2015). Low power interconnect design (pp. 1–30). Springer-Verlag New York: Springer Science+Business media. ​​​​​​​
  • Saraswat, K., Cho, H., Kapur, P., & Koo, K. H., (2008). Performance comparison between copper, carbon nanotube, and optical interconnects. IEEE International Symposium on Circuits and Systems (ISCAS), 2781–2784, Seattle, WA. doi: 10.1109/ISCAS.2008.4542034
  • Sathyakam, P. U., & Mallick, P. S. (2012). Inter-CNT capacitance in mixed CNT bundle interconnects for VLSI circuits. International Journal of Electronics, 99(10), 1439–1447. doi:10.1080/00207217.2012.669721
  • Schmidt, V., Wittemann, J. V., & Gosele, U. (2010). Growth, thermodynamics, and electrical properties of silicon nanowires. Chemical Reviews, 110(1), 361–388. doi:10.1021/cr900141g
  • Schmidt, V., Wittemann, J. V., Senz, S., & Gosele, U. (2009). Silicon nanowires: A review on aspects of their growth and their electrical properties. Advanced Materials, 21, 2681–2702. doi:10.1002/adma.200803754
  • Shafa, M., Sadaf, A., Lei, G., Fakhar-e-Alam, M., & Wang, Z. M. (2016). Indium antimonide nanowires: Synthesis and properties. Nanoscale Research Letters, 11(1), 1–20. doi:10.1186/s11671-016-1370-4
  • Sharda, V., & Agarwal, R. P., (2014). Review of graphene nanoribbons a rising candidate in VLSI interconnect domain. Recent Advances in Engineering and Computational Sciences (RAECS), 1-6, Chandigarh. doi: 10.1109/RAECS.2014.6799509
  • Srivastava, N., Li, H., Kreupl, F., & Banerjee, K. (2009). On the applicability of single-walled carbon nanotubes as VLSI interconnects. IEEE Transactions on Nanotechnology, 8(4), 542–559. doi:10.1109/TNANO.[::2009::].2013945
  • Subash, S., & Chowdhury, M. H. (2009). Mixed carbon nanotube bundles for interconnect applications. International Journal of Electronics, 96(6), 657–671. doi:10.1080/00207210902791702
  • Torres, J. (1995). Advanced copper interconnections for silicon CMOS technologies. Applied Surface Science, 91, 112–123. doi:10.1016/0169-4332(95)00105-0
  • Vobulapuram, R. K., Majumder, M. K., & Kaushik, B. K. (2014). Graphene based on-chip interconnects and TSVs: Prospects and challenges. IEEE Nanotechnology Magazine, 8(4), 14–20. doi:10.1109/MNANO.[::2014::].2355275
  • Vobulapuram, R. K., Majumder, M. K., Kukkam, N. R., & Kaushik, B. K. (2015). Time and frequency domain analysis of MLGNR interconnects. IEEE Transactions on Nanotechnology, 14(3), 484–492. doi:10.1109/TNANO.[::2015::].2408353
  • Wolf, E. L., (2014). Applications of Graphene. SpringerBriefs in Material, 1-18. Springer International Publishing. doi: 10.1007/978-3-319-03946-6
  • Xu, C., Li, H., & Banerjee, K. (2009). Modeling, analysis, and design of grapheme nano-ribbon interconnects. IEEE Transactions on Electron Devices, 56(8), 1567–1578. doi:10.1109/TED.2009.2024254
  • Zhao, W. S., & Yin, W. Y. (2014). Comparative study on multilayer graphene nanoribbon (MLGNR) interconnects. IEEE Transactions on Electromagnetic Compatibility, 56(3), 638–645. doi:10.1109/TEMC.[::2014::].2301196
  • Zhijun, Z., & Wei, Y. (1997). Damage of interconnects by electromigration induced surface evolution. Tsinghua Science and Technology, 2(2), 574–577.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.