133
Views
2
CrossRef citations to date
0
Altmetric
Articles

Performance centric design of subnetwork-based diagonal mesh NoC

& ORCID Icon
Pages 1008-1028 | Received 14 Jun 2017, Accepted 25 Nov 2018, Published online: 12 Mar 2019

References

  • Bahn, J. H., Lee, S. E., & Bagherzadeh, N. (2007, April). On design and analysis of a feasible network-on-chip (noc) architecture. Fourth international conference on information technology. itng ’07 (pp. 1033–1038). Las Vegas, NV, USA.
  • Baker, B. S., Bhatt, S. N., & Leighton, F. T. (1983). An approximation algorithm for Manhattan routing. Proceedings of the fifteenth annual acm symposium on theory of computing (pp. 477–486). New York, NY, USA: ACM.
  • Benini, L., & Micheli, G. D. (2003). Networks on chips: A new SoC paradigm. Ieee Computer, 35(1), 70–78.
  • Catania, V., Mineo, A., Monteleone, S., Palesi, M., & Patti, D. (2016, August). Cycle-accurate network on chip simulation with Noxim. ACM Transactions on Modeling and Computer Simulation, 27(1), 4:1–4:25.
  • Choudhary, S., & Qureshi, S. (2011). A new NoC architecture based on partial interconnection of mesh networks. Ieee symposium on computers & informatics (isci) (pp. 334–339). Kuala Lumpur, Malaysia.
  • Dally, W. J., & Seitz, C. L. (1986). The torus routing chip. Distributed Computing, 1(4), 187–196.
  • Dally, W. J., & Towles, B. P. (2004). Principles and practices of interconnection networks. Elsevier. San Francisco, CA, USA: Elsevier.
  • Furhad, M. H., & Kim, J.-M. (2014). A shortly connected mesh topology for high performance and energy efficient network-on-chip architectures. The Journal of Supercomputing, 69(2), 766–792.
  • Fusella, E., & Cilardo, A. (2018). Lattice-based turn model for adaptive routing. IEEE Transactions on Parallel and Distributed Systems, 29, 1117–1130.
  • Ghosal, P., & Das, T. (2012, November). Network-on-chip routing using structural diametrical 2d mesh architecture. Third international conference on emerging applications of information technology (eait) (pp. 471–474). Kolkata, India.
  • Grot, B., & Keckler, S. W. (2008). Scalable on-chip interconnect topologies. In 2nd workshop on chip multiprocessor memory systems and interconnects. Beijing, China.
  • Jerger, N. E., & Peh, L.-S. (2009). On-chip networks. Synthesis Lectures on Computer Architecture, 4(1), 1–141.
  • Kahng, A. B., Li, B., Peh, L.-S., & Samadi, K. (2012, January). Orion 2.0: A power-area simulator for interconnection networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20(1), 191–196.
  • Kahng, A. B., Lin, B., & Nath, S. (2012, June). Explicit modeling of control and data for improved NoC router estimation. Dac design automation conference 2012 (pp. 392–397). San Francisco, CA, USA.
  • Kaur, S. (2013). On-chip networks! IETE Technical Review, 30(3), 168–172.
  • Kim, J., Balfour, J., & Dally, W. (2007). Flattened butterfly topology for on-chip networks. Microarchitecture, 2007. micro 2007. 40th annual ieee/acm international symposium on (pp. 172–182). Chicago, IL, USA.
  • Kumar, S., Jantsch, A., Soininen, J.-P., Forsell, M., Millberg, M., Öberg, J., … Hemani, A. (2002). A network on chip architecture and design methodology. Proceedings of ieee computer society annual symposium on vlsi (pp. 105–112). Pittsburgh, PA, USA.
  • Kundu, S., & Chattopadhyay, S. (2008). Network-on-chip architecture design based on meshof-tree deterministic routing topology. International Journal of High Performance Systems Architecture, 1, 163–182.
  • Miller, J., Kasture, H., Kurian, G., Gruenwald, C., Beckmann, N., Celio, C., … Agarwal, A. (2010, Jan). Graphite: A distributed parallel simulator for multicores. Ieee 16th international symposium on high performance computer architecture (hpca) (pp. 1–12). Bangalore, India.
  • Nicopoulos, C., Narayanan, V., & Das, C. R. (2009). Network-on-chip architectures: A holistic design exploration (Vol. 45). Springer Science & Business Media, Springer Dordrecht Heidelberg London New York.
  • Palesi, M., Patti, D., & Fazzino, F. (2010). Noxim-the NoC simulator. Retrieved from http://noximsourceforgenet
  • Pande, P. P., Grecu, C., Ivanov, A., & Saleh, R. (2003). Design of a switch for network on chip applications. Circuits and systems, 2003. iscas’03. Proceedings of the 2003 international symposium on (Vol. 5, pp. V–V). Bangkok, Thailand.
  • Saadaoui, A., & Nasri, S. (2015). Extended QoS modelling based on multi-application environment in network on chip. International Journal of Electronics, 102(1), 89–106.
  • Singh, S. P., Bhoj, S., Balasubramanian, D., Nagda, T., Bhatia, D., & Balsara, P. (2007). Network interface for NoC based architectures. International Journal of Electronics, 94(5), 531–547.
  • Sun, C., Chen, C.-H. O., Kurian, G., Wei, L., Miller, J., Agarwal, A., … Stojanovic, V. (2012). Dsent-a tool connecting emerging photonics with electronics for opto-electronic networkson-chip modeling. Sixth ieee/acm international symposium on networks on chip (nocs) (pp. 201–210). Copenhagen, Denmark.
  • Teig, S. L. (2002). The x architecture: Not your father’s diagonal wiring. Proceedings of the 2002 international workshop on system-level interconnect prediction (pp. 33–37). New York, NY, USA: ACM.
  • Wang, C., Hu, W. H., Lee, S. E., & Bagherzadeh, N. (2010, Feb). Area and power-efficient innovative network-on-chip architecture. 2010 18th euromicro conference on parallel, distributed and network-based processing (pp. 533–539). Pisa, Italy.
  • Wang, J., Guo, S., Chen, Z., Li, Y., & Lu, Z. (2018). A new parallel CODEC technique for CDMA NoCs. IEEE Transactions on Industrial Electronics, 65, 6527–6537.
  • Wang, J., Li, Y., & Liao, C. (2016). A system-level bandwidth design method for wormhole network-on-chip. International Journal of Electronics, 103(11), 1928–1940.
  • Wang, X., Ahonen, T., & Nurmi, J. (2007). Applying CDMA technique to network-on-chip. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15, 1091–1100.
  • Woo, S., Ohara, M., Torrie, E., Singh, J., & Gupta, A. (1995, June). The splash-2 programs: Characterization and methodological considerations. Proceedings of 22nd annual international symposium on computer architecture (pp. 24–36). Santa Margherita Ligure, Italy.
  • Wu, J., & Wang, D. (2002). Fault-tolerant and deadlock-free routing in 2-d meshes using rectilinear-monotone polygonal fault blocks. Proceedings of international conference of parallel processing (pp. 247–254). Vancouver, BC, Canada.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.