254
Views
4
CrossRef citations to date
0
Altmetric
Articles

Hybrid buffers based coarse-grained power gated network on chip router microarchitecture

, , &
Pages 272-287 | Received 01 Oct 2018, Accepted 14 Jul 2019, Published online: 21 Jul 2019

References

  • Agarwal, N., Tushar, K., Peh, L. S., & Jha, N. K. (2009) GARNET: A detailed on-chip network model inside a full-system simulator. IEEE International Symposium on Performance Analysis of Systems and Software (pp. 33–42) Boston, MA
  • Balasubramonian, R., Kahng, A. B., Muralimanohar, N., Shafiee, A., & Srinivas, V. (2017). CACTI 7: New tools for interconnect exploration in innovative off-chip memories. ACM Transactions on Architecture and Code Optimization, 14(2), 1–25.
  • Bienia, S. K., Singh, J. P., & Li, K. (2009). ‘The PARSEC benchmark suite: Characterization and architectural implications’. International Conference on Parallel Architectures and Compilation Techniques (PACT) (vol. 2008, pp. 72–81) Toronto, ON, Canada.
  • Binkert, N., Beckmann, B., Gabriel Black, S. K., Reinhardt, A. S., Basu, A., Joel Hestness, D. R., … Wood, D. A. (2011). The gem5 simulator. SIGARCH Computer Architecture News, 39(2), 1-7.
  • Borkar, S.(2007). Thousand core chips: A technology perspective. Proceedings of the 44th annual Design Automation Conference (pp. 746–749) New York, NY, USA: ACM.
  • Cargnini, L. V., Torres, L., Brum, R., Senni, S., & Sassatelli, G. (2014). Embedded memory hierarchy exploration based on magnetic random access memory. Journal of Low Power Electronics and Applications, 4(3), 214–230.
  • Chen, L., Zhu, D., Pedram, M., & Pinkston, T. M. (2015). ‘Power punch: Towards non-blocking power-gating of NoC routers’. IEEE 21st International Symposium on High Performance Computer Architecture (HPCA) (pp. 378–389) Burlingame, CA.
  • Chen, L., Zhu, D., Pedram, M., & Pinkston, T. M. (2016). Simulation of NoC power-gating: Requirements, optimizations, and the Agate simulator. Journal of Parallel and Distributed Computing, 95, 69–78.
  • Dally, W. J., & Towles, B. (2001). Route packets, not wires: On-chip interconnection networks. Proceedings of the 38th Design Automation Conference, 684–689.
  • Das, R., Narayanasamy, S., Satpathy, S. K., & Dreslinskiv, R. G. (2013) Catnap: Energy proportional multiple network-on-chip. Proceedings of the 40th Annual International Symposium on Computer Architecture (pp. 320–331) New York, NY, USA: ACM.
  • Dong, X., Cong, X., Xie, Y., & Jouppi, N. P. (2012). NVSim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. IEEE Transactions on Computer-Aided Design of Integrated Circuits System, 31, 994–1007.
  • Esmaeilzadeh, H., Blem, E., St. Amant, R., Sankaralingam, K., & Burger, D. (2011). Dark silicon and the end of multicore scaling. SIGARCH Computer Architecture News, 39, 365–376.
  • Fong, X., Kim, Y., Yogendra, K., Fan, D., Sengupta, A., Raghunathan, A., & Roy, K. (2016). Spin-transfer torque devices for logic and memory: prospects and perspectives. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(1), 1–22.
  • Hu, Z., Buyuktosunoglu, A., Srinivasan, V., Zyuban, V., Jacobson, H., & Bose, P. (2004) Microarchitectural techniques for power gating of execution units. Proceedings of the 2004 International Symposium on Low Power Electronics and Design, Newport Beach, California, USA (pp. 32–37).
  • Jafari, M., Imani, M., & Fathipour, M. (2015). Analysis of power gating in different hierarchical levels of 2MB cache, considering variation. International Journal of Electronics, 102(9), 1594–1608.
  • Jang, H., An, B. S., Kulkarni, N., Yum, K. H., & Kim, E. J. (2012). A hybrid buffer design with STT-MRAM for on-chip interconnects. IEEE/ACM Sixth International Symposium on Networks-on-Chip (pp. 193–200) Copenhagen.
  • Jog, A., Mishra, A. K., Xu, C., Xie, Y., Narayanan, V., Iyer, R., & Das, C. R. (2012). Cache revive: Architecting volatile STT-RAM caches for enhanced performance in CMPs DAC Design Automation Conference 2012 (pp. 243–252) San Francisco, CA.
  • Majumder, A., & Bhattacharjee, P. (2018). Variation aware intuitive clock gating to mitigate on-chip power supply noise. International Journal of Electronics, 105(9), 1487–1500.
  • Mirhosseini, A., Sadrosadati, M., Fakhrzadehgan, A., Modarressi, M., & Sarbazi-Azad, H. (2015). An energy-efficient virtual channel power-gating mechanism for on-chip networks. Proceedings of the 2015 Design, Automation and Test in Europe Conference and Exhibition (pp. 1527–1532) San Jose, CA, USA: EDA Consortium
  • Moscibroda, T., & Mutlu, O. (2009). A case for bufferless routing in on-chip networks. Proceedings of the 36th annual international symposium on Computer architecture ISCA, Austin, TX, USA (pp. 196–207).
  • Pasandi, G., Jafari, M., & Imani, M. (2015). A new low-power 10T SRAM cell with improved read SNM. International Journal of Electronics, 102(10), 1621–1633.
  • Rahmani, A. M., Pasi Liljeberg, A., Hemani, A. J., & Tenhunen, H. (2016). The dark side of silicon. Springer.
  • Sharma, A., Gupta, Y., Yadav, S., Bhargava, L., Gaur, M. S., & Laxmi, V. (2017). A power, thermal and reliability-aware network-on-chip. 2017 IEEE International Symposium on Nanoelectronic and Information Systems (iNIS), Bhopal, India (pp. 243–245).
  • Sun, C., Chen, C.H.O., Kurian, G., Wei, L., Miller, J., Agarwal, A., Peh, L.S., & Stojanovic, V. (2012). DSENT - A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. IEEE/ACM Sixth International Symposium on Networks-on-Chip. (pp. 201–210) Copenhagen.
  • Sun, G., Dong, X., Xie, Y., Li, J., & Chen, Y. (2009). A novel architecture of the 3D stacked MRAM L2 cache for CMPs. Proceedings of the 15th IEEE International Symposium on High Performance Computer Architecture (HPCA) (vol. 1418, pp. 239249) Raleigh, NC, USA.
  • Wang, F., Tang, X., Xing, Z., & Liu, H. (2016). Low-cost and low-power unidirectional torus network-on-chip with corner buffer power-gating. International Journal of Electronics, 103(8), 1332–1348.
  • Wang, P., Niknam, S., Wang, Z., & Stefanov, T. (2017). A novel approach to reduce packet latency increase caused by power gating in network-on-chip. 2017 Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS) (pp. 1–8) Seoul.
  • Xiaoxia, W., Jian, L., Zhang, L., Speight, E., Rajamony, R., & Xie, Y. (2009). Hybrid cache architecture with disparate memory technologies. SIGARCH Computer Architecture News, 37(3), 34–45.
  • Xie, Y. (2013). Emerging memory technologies design, architecture, and applications. New York: Springer-Verlag.
  • Zhan, J., Ouyang, J., Ge, F., Zhao, J., & Xie, Y. (2015). DimNoC: A dim silicon approach towards power-efficient on-chip network. 52nd ACM/EDAC/IEEE Design Automation Conference (DAC) (pp. 1–6) San Francisco, CA.
  • Zhan, J., Ouyang, J., Ge, F., Zhao, J., & Xie, Y. (2016). Hybrid Drowsy SRAM and STT-RAM buffer designs for Dark-Silicon-Aware NoC. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24, 3041–3054.
  • Zheng, H., & Louri, A. (2018 January–June 1). EZ-pass: an energy performance-efficient power-gating router architecture for scalable NoCs. IEEE Computer Architecture Letters, 17(1), 88–91.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.