38
Views
0
CrossRef citations to date
0
Altmetric
Research Article

A 0.75V 10nm FinField-Effect Transistor Based Hybrid Self Controlled PreCharge Free Content Addressable Memory for Low Standby Power Applications

&
Received 07 Sep 2022, Accepted 03 Jan 2024, Published online: 14 Feb 2024

References

  • Ahmad, S., Ahmad, S. A., Muqeem, M., Alam, N., & Hasan, M (2019, September). TFET-Based robust 7T SRAM cell for low power application. IEEE Transactions on Electron Devices, 66(9). https://doi.org/10.1109/TED.2019.2931567
  • Changand Yuan-Hong Liao, Y.-J. (2008). Hybrid-type CAM design for both power and performance efficiency. IEEE Transactions on Very Large-Scale Integration (VLSI) Systems, 16(8), 965–974.
  • Jha, K., Sharma, V., & Pattanaik, M. (2015). Impact of temperature variations on line tunnel FET for low power applications. Journal of Computational and Theoretical Nanoscience, 12, 3009–3012. https://doi.org/10.1166/jctn.2015.4073
  • Kim, T.-H., Liu, J., Keane, J., and Kim, C. H. (2007). A high-density subthreshold SRAM with data-independent bit line leakage and virtual-ground replica scheme. 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers San Francisco, CA, USA (pp. 330–606). https://doi.org/10.1109/ISSCC.2007.373428
  • Kulkarni, J. P., Kim, K., Park, S. P., & Roy, K. (2008). “Process variation tolerant SRAM array for ultra-low voltage applications,” in Proc. Design Automation Conference, 2, 108–113. https://doi.org/10.1145/1391469.1391498
  • Kulkarni, J. P., Kim, K., & Roy, K. (2007, October). A 160 mV robust Schmitt trigger based subthreshold SRAM. IEEE Journal of Solid-State Circuits, 42(10), 2303–2313. https://doi.org/10.1109/JSSC.2007.897148
  • Lin, C.-S., Chang, J.-C., & Liu, B.-D. (2003). A low-power precomputation-based fully ParallelContent-addressable memory. IEEE Journal of Solid-State Circuits, 38(4), APRIL.
  • Mahendra, T. V., Mishra, S., & Dandapat, A. (2017). Self-controlled high-performance precharge-free content-addressable memory. IEEE Transactions on Very Large-scale Integration (VLSI) Systems, 25(2), 2388–2392. https://doi.org/10.1109/TVLSI.2017.2685427
  • Manikandan, A., & Jamuna, V. (2017). Fault Tolerant Parallel Filters Based On Error Correction Codes. Journal of Advanced Research in Dynamical and Control Systems, 9(2), 1399–1404.
  • Mishra, A., Jha, K. K., & Pattanaik, M. (2014). Parameter variation aware hybrid TFET-CMOS based power gating technique with a temperature variation tolerant sleep mode. Microelectronics Journal, 45(11), 1515–1521. https://doi.org/10.1016/j.mejo.2014.08.005
  • Mohammed Zackriya, V., & Kittur, H. M. (2017, January). Content addressable memory—early predict and terminate precharge of match-line. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(1). https://doi.org/10.1109/TVLSI.2016.2576281
  • Nagarajan, P., Renuga, M., Manikandan, A., & Dhanasekaran, S. (2023). Design and simulate a novel 16T SRAM cell for low power memory architecture. Journal of Circuits, Systems & Computers. https://doi.org/10.1142/S0218126624500038
  • Pagiamtzis, K., et al. (2004, September). A low-power content-addressable memory (CAM) using pipelined hierarchical search scheme. IEEE Journal of Solid-State Circuits, 39(9), 1512–1519.
  • Ruan, S.-J., Chi-Yu, W., & Hsieh, J.-Y. (2008). ”low power design of precomputation-BasedContent-addressable memory. IEEE Transactions on Very Large-Scale Integration (VLSI) Systems, 16(3), 331–335.
  • Sudha, D., et al. 2019. SOI FinFET based 10T SRAM cell design against short channel effects. Journal of Acta Physica Polonica A, 135(4), 702–704. https://doi.org/10.12693/APhysPolA.135.702
  • Uddin Mohammed, M., et al. (2018, December). Reliability and energy efficiency of the tunneling transistor based 6T SRAM cell in sub-10nm domain. IEEE Transactions on Circuits & Systems II: Express Briefs, 65(12). https://doi.org/10.1109/TCSII.2018.2874897
  • Wasmir Hussain, S. 2018. Telajala Venkata Mahendra, Sandeep Mishra and Anup Dandapat, match-line division and control to reduce power dissipation in content addressable memory, IEEE Transactions on Consumer Electronics, 64, 301–309. https://doi.org/10.1109/TCE.2018.2859623
  • Yang, S.-H., Huang, Y.-J., & Jin-Fu, L. (2012). A low-power ternary content addressable memory with Pai-Sigma Matchlines. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20(10), 1909–1913.
  • Yang, B.-D., & Kim, L.-S. (2005, August). A low-power CAM using pulsed NAND-NOR match-line and charge-recycling search-line driver. IEEE Journal of Solid-State Circuits, 55(6), 1736–1744.
  • Yang, B.-D., & Lee, Y.-K., et al. (2011). A low power content addressable memory using low swing search lines. IEEE Transactions on Circuits and Systems—I: Regular Papers, 58(12).
  • Zackriya, V. M., & Kittur, H. M. (2016, August). Precharge-free, low-power content addressable memory. IEEE Transactions on Very Large Scale Integration, 24(8), 2614–2621.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.