49
Views
0
CrossRef citations to date
0
Altmetric
Research Article

Novel dual power hybrid write operation structure for STT-MRAM

, , &
Received 12 May 2023, Accepted 02 Jan 2024, Published online: 05 Feb 2024

References

  • Apalkov, D., Khvalkovskiy, A., Watts, S., Nikitin, V., Tang, X. T., Lottis, D. … Krounbi, M. (2013). Spin-transfer torque magnetic random access memory (STT-MRAM). Acm Journal on Emerging Technologies in Computing Systems, 9(2), 1–35. https://doi.org/10.1145/2463585.2463589
  • Bishnoi, R., Ebrahimi, M., Oboril, F., & Tahoori, M. B. (2016). Improving write performance for STT-MRAM. IEEE Transactions on Magnetics, 52(8), 1–11. https://doi.org/10.1109/tmag.2016.2541629
  • Faraji, S. S., Hajisadeghi, A. M., & Zarandi, H. (2019). TAMPER: Thermal Assistant method to improve write PERformance in STT-RAM memories. In 2019 27th Iranian Conference on Electrical Engineering (ICEE), Yazd, Iran.
  • Faraji, S. S., Talafy, J., Hajisadeghi, A. M., & Zarandi, H. R. (2018). DUSTER: DUal source write TERmination method for STT-RAM memories. In Euromicro Conference on Digital System Design, Prague, Czech Republic.
  • Farkhani, H., Tohidi, M., Peiravi, A., Madsen, J. K., & Moradi, F. (2017). STT-RAM Energy Reduction Using Self-Referenced Differential Write Termination Technique. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(2), 476–487. https://doi.org/10.1109/Tvlsi.2016.2588585
  • Ikeda, S., Miura, K., Yamamoto, H., Mizunuma, K., Gan, H. D., Endo, M., Kanai, S., Hayakawa, J., Matsukura, F., & Ohno, H. (2010). A perpendicular-anisotropy CoFeB–MgO magnetic tunnel junction. Nature Materials, 9(9), 721–724. https://doi.org/10.1038/nmat2804
  • Iv, C. W. S., Mohan, V., Nigam, A., Gurumurthi, S., & Stan, M. R. (2011). Relaxing non-volatility for fast and energy-efficient STT-RAM caches. In IEEE International Symposium on High Performance Computer Architecture, San Antonio, TX, USA.
  • Kawahara, T., Ito, K., Takemura, R., & Ohno, H. (2012). Spin-transfer torque RAM technology: Review and prospect. Microelectronics Reliability, 52(4), 613–627. https://doi.org/10.1016/j.microrel.2011.09.028
  • Kim, W., Jeong, J. H., Kim, Y., Lim, W. C., & Chung, C. (2011). Extended scalability of perpendicular STT-MRAM towards sub-20nm MTJ node. Electron Devices Meeting (pp. 24.1.1–24.1.4). Washington, DC, USA. https://doi.org/10.1109/IEDM.2011.6131602
  • Lakys, Y., Zhao, W. S., Devolder, T., Zhang, Y., Klein, J.-O., Ravelosona, D., & Chappert, C. (2012). Self-enabled “error-free” switching circuit for spin transfer torque MRAM and logic. IEEE Transactions on Magnetics, 48(9), 2403–2406. https://doi.org/10.1109/tmag.2012.2194790
  • Lin, C. J. (2009). 45nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell. IEEE.
  • Nigam, A., Smullen, C. W., Mohan, V., Chen, E., Gurumurthi, S., & Stan, M. R. (2011). Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM). In IEEE/ACM International Symposium on Low-power Electronics & Design, Fukuoka, Japan.
  • Panagopoulos, G., Augustine, C., & Roy, K. (2011). Modeling of dielectric breakdown-induced time-dependent STT-MRAM performance degradation. IEEE.
  • Pan, C., & Naeemi, A. (2017). Nonvolatile spintronic memory array performance benchmarking based on Three-Terminal memory Cell. IEEE Journal on Exploratory Solid-State Computational Devices and Circuits, 3, 10–17. https://doi.org/10.1109/JXCDC.2017.2669213
  • Ranjan, A., Venkataramani, S., Fong, X., Roy, K., & Raghunathan, A. (2015). Approximate storage for energy efficient spintronic memories. In Design Automation Conference, San Francisco, California, USA. https://doi.org/10.1145/2744769.2744799
  • Seyedfaraji, S., Daryani, J. T., Aly, M. M. S., & Rehman, S. (2022). EXTENT: Enabling approximation-oriented energy efficient STT-RAM write circuit. Institute of Electrical and Electronics Engineers Access, 10, 82144–82155. https://doi.org/10.1109/Access.2022.3194679
  • Swami, S., & Mohanram, K. (2017). Reliable Nonvolatile Memories: Techniques and Measures. IEEE Design & Test, 34(3), 31–41. https://doi.org/10.1109/Mdat.2017.2682252
  • Talafy, J., & Zarandi, H. R. (2017). Soft error analysis of MTJ-based logic-in-memory full adder: Threats and solution. In International On-Line Testing Symposium, Thessaloniki, Greece.
  • Wang, M. M., & Jiang, Y. F. (2021). Compact model of nanometer STT-MTJ device with scale effect. AIP Advances, 11(2). https://doi.org/10.1063/9.0000049
  • Yoshida, C., Kurasawa, M., Lee, Y. M., Tsunoda, K., & Sugiyama, Y. (2009). A study of dielectric breakdown mechanism in CoFeB/MgO/CoFeB magnetic tunnel junction. In IEEE International Reliability Physics Symposium, Montreal, QC, Canada.
  • Zhao, W. S., Zhang, Y., Devolder, T., Klein, J., Ravelosona, D., Chappert, C., & Mazoyer, P. (2012). Failure and reliability analysis of STT-MRAM. Microelectronics Reliability, 52(9–10), 1848–1852. https://doi.org/10.1016/j.microrel.2012.06.035
  • Zheng, T., Park, J., Orshansky, M., & Erez, M. (2013). Variable-energy write STT-RAM architecture with bit-wise write-completion monitoring. In Low Power Electronics and Design (ISLPED), 2013 IEEE International Symposium on, Beijing, China.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.