220
Views
1
CrossRef citations to date
0
Altmetric
Research Articles

True sparse PCA for reducing the number of essential sensors in virtual metrology

, , , &
Pages 2142-2157 | Received 17 Aug 2022, Accepted 23 Apr 2023, Published online: 28 May 2023

References

  • Arima, Sumika, Takuya Nagata, Huizhen Bu, and Satsuki Shimada. 2019. “Applications of Sparse Modelling and Principle Component Analysis for the Virtual Metrology of Comprehensive Multi-dimensional Quality.” In ICORES, 354–361. Prague: SCITEPRESS.
  • Benidis, K, Y. Sun, P. Babu, and D. P. Palomar. 2016. “Orthogonal Sparse PCA and Covariance Estimation Via Procrustes Reformulation.” IEEE Transactions on Signal Processing 64 (23): 6211–6226. doi:10.1109/TSP.2016.2605073.
  • Bertsimas, Dimitris, Ryan Cory-Wright, and Jean Pauphilet. 2022. “Solving Large-Scale Sparse PCA to Certifiable (Near) Optimality.” Journal of Machine Learning Research 23 (13): 1–35. https://dl.acm.org/doi/abs/10.5555/3586589.3586602.
  • Cadima, J., and I. T. Jolliffe. 1995. “Loadings and Correlations in the Interpretation of Principal Components.” Journal of Applied Statistics 22 (2): 203–214. doi:10.1080/757584614.
  • Chen, Ching-Hsien, Wei-Dong Zhao, Timothy Pang, and Yi-Zheng Lin. 2020. “Virtual Metrology of Semiconductor PVD Process Based on Combination of Tree-based Ensemble Model.” ISA Transactions 103: 192–202. doi:10.1016/j.isatra.2020.03.031.
  • Cheng, Fan-Tien, Yao-Sheng Hsieh, Chun-Fang Chen, and Jhao-Rong Lyu. 2016. “Automated Sampling Decision Scheme for the AVM System.” International Journal of Production Research 54 (21): 6351–6366. doi:10.1080/00207543.2015.1072649.
  • Chien, Chen-Fu, Wei-Tse Hung, Chin-Wei Pan, and Tran Hong Van Nguyen. 2022. “Decision-based Virtual Metrology for Advanced Process Control to Empower Smart Production and An Empirical Study for Semiconductor Manufacturing.” Computers & Industrial Engineering 169: 108245. doi:10.1016/j.cie.2022.108245.
  • d'Aspremont, A., F. Bach, and L. El Ghaoui. 2008. “Optimal Solutions for Sparse Principal Component Analysis.” The Journal of Machine Learning Research 9: 1269–1294. https://dl.acm.org/doi/abs/10.5555/1390681.1442775.
  • d'Aspremont, A., L. El Ghaoui, M. I. Jordan, and G Lanckrie. 2007. “A Direct Formulation for Sparse PCA Using Semidefinite Programming.” SIAM Review 49 (3): 434–448. doi:10.1137/050645506.
  • Donnelly, Vincent M., and Avinoam Kornblit. 2013. “Plasma Etching: Yesterday, Today, and Tomorrow.” Journal of Vacuum Science & Technology A 31 (5): 050825. doi:10.1116/1.4819316.
  • Dreyfus, Paul-Arthur, Antoine Pélissier, Foivos Psarommatis, and Dimitris Kiritsis. 2022. “Data-based Model Maintenance in the Era of Industry 4.0: A Methodology.” Journal of Manufacturing Systems 63: 304–316. doi:10.1016/j.jmsy.2022.03.015.
  • Dreyfus, Paul-Arthur, Foivos Psarommatis, Gokan May, and Dimitris Kiritsis. 2022. “Virtual Metrology As An Approach for Product Quality Estimation in Industry 4.0: a Systematic Review and Integrative Conceptual Framework.” International Journal of Production Research 60 (2): 742–765. doi:10.1080/00207543.2021.1976433.
  • Erichson, N. B., P. Zheng, K. Manohar, S. L. Brunton, J. N. Kutz, and A. Y. Aravkin. 2020. “Sparse Principal Component Analysis Via Variable Projection.” SIAM Journal on Applied Mathematics 80 (2): 977–1002. doi:10.1137/18M1211350.
  • Jolliffe, I. T., N. T. Trendafilov, and M. Uddin. 2003. “A Modified Principal Component Technique Based on the LASSO.” Journal of Computational and Graphical Statistics 12 (3): 531–547. doi:10.1198/1061860032148.
  • Kang, Seokho. 2017. “On Effectiveness of Transfer Learning Approach for Neural Network-based Virtual Metrology Modeling.” IEEE Transactions on Semiconductor Manufacturing 31 (1): 149–155. doi:10.1109/TSM.2017.2787550.
  • Kang, Seokho, and Pilsung Kang. 2017. “An Intelligent Virtual Metrology System with Adaptive Update for Semiconductor Manufacturing.” Journal of Process Control 52: 66–74. doi:10.1016/j.jprocont.2017.02.002.
  • Kang, P., H. Lee, S. Cho, D. Kim, J. Park, C. Park, and S. Doh. 2009. “A Virtual Metrology System for Semiconductor Manufacturing.” Expert Systems with Applications 36 (10): 12554–12561. doi:10.1016/j.eswa.2009.05.053.
  • Khakifirooz, Marzieh, Chen Fu Chien, and Ying-Jen Chen. 2018. “Bayesian Inference for Mining Semiconductor Manufacturing Big Data for Yield Enhancement and Smart Production to Empower Industry 4.0.” Applied Soft Computing 68: 990–999. doi:10.1016/j.asoc.2017.11.034.
  • Kim, Dongil, and Seokho Kang. 2019. “Effect of Irrelevant Variables on Faulty Wafer Detection in Semiconductor Manufacturing.” Energies 12 (13): 1996–1073. doi:10.3390/en12132530.
  • Ko, Jong Myoung, and Chang Ouk Kim. 2012. “A Multivariate Parameter Trace Analysis for Online Fault Detection in a Semiconductor Etch Tool.” International Journal of Production Research 50 (23): 6639–6654. doi:10.1080/00207543.2011.611538.
  • Maggipinto, Marco, Alessandro Beghi, Sean McLoone, and Gian Antonio Susto.. 2019. “DeepVM: A Deep Learning-based Approach with Automatic Feature Extraction for 2D Input Data Virtual Metrology.” Journal of Process Control 84: 24–34. doi:10.1016/j.jprocont.2019.08.006.
  • Moghaddam, B., Y. Weiss, and S. Avidan. 2006. “Generalized Spectral Bounds for Sparse LDA.” In 23rd International Conference on Machine Learning (ICML), 641–648. doi:10.1145/1143844.1143925.
  • Montgomery, Douglas C., Elizabeth A. Peck, and G. Geoffrey Vining. 2012. Introduction to Linear Regression Analysis. 5th ed. Hoboken, NJ: Wiley.
  • Nikolic, Maria Vesna, Vladimir Milovanovic, Zorka Z. Vasiljevic, and Zoran Stamenkovic. 2020. “Semiconductor Gas Sensors: Materials, Technology, Design, and Application.” Sensors 20 (22): 6694. doi:10.3390/s20226694.
  • Park, Chanhee, Younghoon Kim, Youngjoon Park, and Seoung Bum Kim. 2018. “Multitask Learning for Virtual Metrology in Semiconductor Manufacturing Systems.” Computers & Industrial Engineering 123: 209–219. doi:10.1016/j.cie.2018.06.024.
  • Pearson, Karl. 1901. “LIII. On Lines and Planes of Closest Fit to Systems of Points in Space.” The London, Edinburgh, and Dublin Philosophical Magazine and Journal of Science 2 (11): 559–572. doi:10.1080/14786440109462720.
  • Psarommatis, Foivos, Gökan May, Paul-Arthur Dreyfus, and Dimitris Kiritsis. 2020. “Zero Defect Manufacturing: State-of-the-art Review, Shortcomings and Future Directions in Research.” International Journal of Production Research 58 (1): 1–17. doi:10.1080/00207543.2019.1605228.
  • Psarommatis, Foivos, Sylvain Prouvost, Gökan May, and Dimitris Kiritsis. 2020. “Product Quality Improvement Policies in Industry 4.0: Characteristics, Enabling Factors, Barriers, and Evolution Toward Zero Defect Manufacturing.” Frontiers in Computer Science 2: 26. doi:10.3389/fcomp.2020.00026.
  • Psarommatis, Foivos, João Sousa, João Pedro Mendonça, and Dimitris Kiritsis. 2022. “Zero-defect Manufacturing the Approach for Higher Manufacturing Sustainability in the Era of Industry 4.0: A Position Paper.” International Journal of Production Research 60 (1): 73–91. doi:10.1080/00207543.2021.1987551.
  • Seghouane, Abd-Krim, Navid Shokouhi, and Inge Koch. 2019. “Sparse Principal Component Analysis with Preserved Sparsity Pattern.” IEEE Transactions on Image Processing 28 (7): 3274–3285. doi:10.1109/TIP.83.
  • Sriperumbudur, B. K., D. A. Torres, and G. R. G. Lanckriet. 2007. “Sparse Eigen Methods by D.C. Programming.” In 24th International Conference on Machine Learning (ICML), 831–838. Haifa: ICML.
  • Su, An-Jhih, Jyh-Cheng Jeng, Hsiao-Ping Huang, Cheng-Ching Yu, Shih-Yu Hung, and Ching-Kong Chao. 2007. “Control Relevant Issues in Semiconductor Manufacturing: Overview with Some New Results.” Control Engineering Practice 15 (10): 1268–1279. doi:10.1016/j.conengprac.2006.11.003.
  • Suthar, K., D. Shah, J. Wang, and Q. He. 2019. “Next-generation Virtual Metrology for Semiconductor Manufacturing: A Feature-based Framework.” IEEE Transactions on Semiconductor Manufacturing 127: 140–149. doi:10.1016/j.compchemeng.2019.05.016.
  • Yuan, Huawei, Sinan Li, Siew-Chong Tan, and S. Y. Ron Hui. 2020. “Means of Reducing Number of Sensors in Single-Phase Power Converters with An Active Power Buffer.” In 2020 IEEE Applied Power Electronics Conference and Exposition (APEC), 1273–1278.  New Orleans: IEEE.
  • Zeng, Dekong, Yajing Tan, and Costas J. Spanos. 2008. “Dimensionality Reduction Methods in Virtual Metrology.” In Metrology, Inspection, and Process Control for Microlithography XXII, Vol. 6922, 1076–1086. San Jose, CA: SPIE.
  • Zou, Hui, Trevor Hastie, and Robert Tibshirani. 2006. “Sparse Principal Component Analysis.” Journal of Computational and Graphical Statistics 15 (2): 265–286. doi:10.1198/106186006X113430.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.