137
Views
2
CrossRef citations to date
0
Altmetric
Articles

High-Performance 3D Mesh-Based NOC Architecture Using Node-Layer Clustering

ORCID Icon, ORCID Icon & ORCID Icon

References

  • N. Jafarzadeh, M. Palesi, A. Khademzadeh, and A. Afzali-Kusha, “Data encoding techniques for reducing energy consumption in network-on-chip,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 22, no. 3, pp. 675–85, 2013. doi:10.1109/TVLSI.2013.2251020
  • S. R. Das, S. N. Biswas, D. Biswas, E. M. Petriu, and M. H. Assaf, “System-on-chips design using ISCAS Benchmark circuits - An approach to fault injection and simulation based on Verilog HDL,” IETE. J. Res., Vol. 58, no. 2, pp. 107–13, 2014. doi:10.4103/0377-2063.96177
  • M. Jun, D. Woo, and E. Y. Chung, “Partial connection-aware topology synthesis for on-chip cascaded crossbar network,” IEEE Trans. Comput., Vol. 61, no. 1, pp. 73–86, 2012. doi:10.1109/TC.2010.211
  • N. Habibi, and S. H. Forghani, “Anticipant model for Security Attacks in NOC,” Int. J. Computer Science Computer Network (IJCSWN), Vol. 1, no. 3, pp. 63–72, 2016.
  • K. Manna, P. Mukherjee, S. Chattopadhyay, and I. Sengupta, “Thermal-aware application mapping strategy for network-on-chip based system design,” IEEE Trans. Comput., Vol. 67, no. 4, pp. 528–42, 2017. doi:10.1109/TC.2017.2770130
  • Z. Xiao, and B. M. Baas, “Processor tile Shapes and interconnect topologies for dense On-chip networks,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol. 22, no. 6, pp. 1377–90, 2014. doi:10.1109/TVLSI.2013.2265937
  • S. Azampanah, A. Khademzadeh, N. Bagherzadeh, M. Janidarmian, and R. Shojaee, “Contention-aware selection strategy for application-specific network-on-chip,” IET Comput. Digit. Tech., Vol. 7, no. 3, pp. 105–14, 2013. doi:10.1049/iet-cdt.2011.0173
  • V. F. Pavlidis, and E. G. Friedman, “3-D topologies for networks-on-chip,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol. 15, no. 10, pp. 1081–90, 2007. doi:10.1109/TVLSI.2007.893649
  • A. M. Rahmani, K. R. Vaddina, K. Latif, P. Liljeberg, J. Plosila, and H. Tenhunen, “High-performance and fault-tolerant 3D NOC-bus hybrid architecture using ARB-NET-based adaptive monitoring platform,” IEEE Trans. Comput., Vol. 63, no. 3, pp. 734–47, 2012.
  • A. More, V. Pano, and B. Taskin, “Vertical Arbitration-free 3-D NOCs,” IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., Vol. 37, no. 9, pp. 1853–66, 2017. doi:10.1109/TCAD.2017.2768415
  • T. Maqsood, S. Ali, S. U. Malik, and S. A. Madani, “Dynamic task mapping for network-on-chip based systems,” J. Syst. Archit., Vol. 61, no. 7, pp. 293–306, 2015. doi:10.1016/j.sysarc.2015.06.001
  • B. S. Feero, and P. P. Pande, “Networks-on-chip in a three-dimensional environment: A performance evaluation,” IEEE Trans. Comput., Vol. 58, no. 1, pp. 32–45, 2009. doi:10.1109/TC.2008.142
  • H. Matsutani, M. Koibuchi, and H. Amano. “Tightly-coupled multi-layer topologies for 3-D NOCs,” In 2007 International Conference on Parallel Processing (ICPP), IEEE, 2007, pp. 75–75.
  • Y. Chen, J. Hu, X. Ling, and T. Huang, “A novel 3D NOC architecture based on De Bruijn graph,” Comput. Electr. Eng., Vol. 38, no. 3, pp. 801–10, 2012. doi:10.1016/j.compeleceng.2011.11.016
  • J. Kim, et al., “A novel dimensionally-decomposed router for on-chip communication in 3D architectures,” ACM Sigarch Computer Architecture News, Vol. 35, no. 2, ACM, pp. 138–49, 2007. doi:10.1145/1273440.1250680
  • D. Park, S. Eachempati, R. Das, A. K. Mishra, Y. Xie, N. Vijaykrishnan, and C. R. Das. “MIRA: A multi-layered on-chip interconnect router architecture,” In International Symposium on Computer Architecture, IEEE, 2007, pp. 251–61.
  • P. Lotfi-Kamran, A. M. Rahmani, M. Daneshtalab, A. Afzali-Kusha, and Z. Navabi, “EDXY–a low cost congestion-aware routing algorithm for network-on-chips. Journal of systems architecture,” Elsevier, Vol. 56, no. 7, pp. 256–64, 2010.
  • M. Yang, T. Li, Y. Jiang, and Y. Yang. “Fault-tolerant routing schemes in RDT (2, 2, 1)//spl alpha/-based interconnection network for networks-on-chip design,” In 8th International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN), 2005, IEEE, pp. 1–6.
  • M. Valinataj, S. Mohammadi, and S. Safari, “Fault-aware and reconfigurable routing algorithms for networks-on-chip,” IETE. J. Res., Vol. 57, no. 3, pp. 215–23, 2011. doi:10.4103/0377-2063.83642
  • P. Yang, and Q. Wang, “Heterogeneous honeycomb-like NOC topology and routing based on communication division,” Int. J.Future Generation Communication Networking, Vol. 8, no. 1, pp. 19–26, 2015. doi:10.14257/ijfgcn.2015.8.1.03
  • R. K. Saini, and M. Ahmed, “2D hexagonal mesh Vs 3D mesh network on chip: A performance evaluation,” Int. J.Comput. digital Syst., Vol. 4, no. 01, pp. 33–41, 2015. doi: 10.12785/ijcds/040104
  • N. Viswanathan, K. Paramasivam, and K. Somasundaram, “Exploring hierarchical, cluster based 3D topologies for 3D NOC,” Procedia. Eng., Vol. 30, pp. 606–15, 2012. doi:10.1016/j.proeng.2012.01.905
  • N. Viswanathan, K. Paramasivam, and K. Somasundaram, “Performance and cost metrics analysis of a 3D NOC topology using network Calculus,” Appl. Math. Sci., Vol. 7, no. 84, pp. 4173–84, 2013.
  • M. Taassori, S. Niroomand, S. Uysal, B. Vizvari, and A. Hadi-Vencheh, “Optimization Approaches for core mapping on networks on chip,” IETE. J. Res., Vol. 64, no. 3, pp. 394–405, 2018. doi:10.1080/03772063.2017.1355754
  • V. Sanju, N. Chiplunkar, and V. Krishna, “SMITHA: Scalable modular interconnect for three dimensional high performance applications-A New 3D topology for NOC based systems,” BVICA M's Int. J. Information Technol., Vol. 7, no. 1, pp. 803–809, 2015.
  • C. Martínez, E. Vallejo, R. Beivide, C. Izu, and M. Moretó, “Dense Gaussian networks: Suitable topologies for on-chip multiprocessors,” Int. J. Parallel Program., Vol. 34, no. 3, pp. 193–211, 2016. doi:10.1007/s10766-006-0014-1
  • A. Touzene, “On all-to-all broadcast in dense Gaussian network on-chip,” IEEE Trans. Parallel Distrib. Syst., Vol. 26, no. 4, pp. 1085–95, 2015. doi:10.1109/TPDS.2014.2314689
  • M. D. Schatz, R. A. Van de Geijn, and J. Poulson, “Parallel matrix multiplication: A systematic journey,” SIAM J. Sci. Comput., Vol. 38, no. 6, pp. 748–81, 2016. doi:10.1137/140993478
  • M. Schatz, J. Poulson, and R. van de Geijn, “Parallel matrix multiplication: 2D and 3D,” Flame Working Note, Vol. 62, pp. 1–29, 2012.
  • M. Hosseinabady, M. R. Kakoee, J. Mathew, and D. K. Pradhan, “Low latency and energy efficient scalable architecture for massive NOCs using generalized de Bruijn graph,” IEEE Trans. Very Large Scale Integration (VLSI) Systems, Vol. 19, no. 8, pp. 1469–80, 2011. doi:10.1109/TVLSI.2010.2050914
  • P. Faizian, M. A. Mollah, X. Yuan, Z. Alzaid, S. Pakin, and M. Lang, “Random regular graph and generalized De Bruijn graph with k-shortest path routing,” IEEE Trans. Parallel Distrib. Syst., Vol. 29, no. 1, pp. 144–55, 2017. doi:10.1109/TPDS.2017.2741492
  • A. Chariete, M. Bakhouya, J. Gaber, and M. Wack. “An approach for curouting mizing on-chip interconnect architectures in SoC design,” In 2012 International Conference on High Performance Computing & Simulation (HPCS), IEEE, 2012, pp. 288–94.
  • K. Hwang, and N. Jotwani. Advanced Computer architecture, 3rd ed. New Delhi: McGraw-Hill Education, 2010.
  • R. Dash, A. Majumdar, V. Pangracious, A. K. Turuk, and J. L. Risco-Martín, “ATAR: An adaptive Thermal-Aware routing algorithm for 3-D network-on-chip systems,” IEEE Trans. Components, Packaging Manufacturing Technol., Vol. 99, pp. 1–8, 2018.
  • Y. S. Yang, H. Deshpande, G. Choi, and P. V. Gratz, “SDPR: improving latency and bandwidth in On-chip interconnect through Simultaneous Dual-path routing,” IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., Vol. 37, no. 3, pp. 545–58, 2016. doi:10.1109/TCAD.2016.2570428
  • T. Krishna, C. H. O. Chen, W. C. Kwon, and L. S. Peh. “Breaking the on-chip latency barrier using SMART,” In 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA), IEEE, 2013, pp. 378–89.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.