24
Views
0
CrossRef citations to date
0
Altmetric
Research Article

Design and Analysis of Low-Power and Area-Efficient Master-Slave Flip-Flop

&

REFERENCES

  • S. Panda, S. Sharma, and A. R. Asati, “Clock gating analysis of TG based D flip-flop for different technology nodes,” in 2020 IEEE 7th Uttar Pradesh Section International Conference on Electrical, Electronics and Computer Engineering (UPCON), Prayagraj. IEEE, 2020, pp. 1–6.
  • P. Cao, Y. Qin, and H. Jiang, “Timing analysis and optimization method with interdependent flip-flop timing model for near-threshold design,” Electronics., Vol. 11, no. 22, pp. 3670, 2022.
  • V. Mallaraddi, H. Rajani, and S. Kamate, “Static and dynamic power optimization using leakage feedback approach for nanoscale CMOS VLSI circuits,” in 2022 First International Conference on Electrical, Electronics, Information and Communication Technologies (ICEEICT), Trichy. IEEE, 2022, pp. 1–5.
  • P. Parekh, F. Yuan, and Y. Zhou, “Area/power-efficient true-single-phase-clock D-flipflops with improved metastability,” in 2020 IEEE 63rd International Midwest Symposium on Circuits and Systems (MWSCAS), Springfield, MA. IEEE, 2020, pp. 182–5.
  • K. D. Kumar, L. B. Reddy, V. Pudi, and S. Bodapati, “Design of low area and low power systolic serial parallel multiplier using CNTFETS,” in 2021 IEEE International Symposium on Smart Electronic Systems (iSES), Jaipur. IEEE, 2021, pp. 139–42.
  • D. Bhargavaram, and M. G. K. Pillai, “Low power dual edge triggered flip-flop,” in IEEE-International Conference on Advances in Engineering, Science and Management (ICAESM-2012), Nagapattinam. IEEE, 2012, pp. 63–7.
  • S. Naik, and R. Chandel, “Design of a low power flip-flop using CMOS deep sub micron technology,” in 2010 International Conference on Recent Trends in Information, Telecommunication and Computing, Kerala. IEEE, 2010, pp. 253–6.
  • V. J. Verma, D. A. K. M. Vaithivanathan, and B. Kaur, “Review of different flip-flop circuits and a modified flip-flop circuit for low voltage operation,” in 2022 IEEE 3rd Global Conference for Advancement in Technology (GCAT), Bangalore. IEEE, 2022, pp. 1–5.
  • U. Chopra, A. K. Mishra, and D. Vaithiyanathan, “Performance analysis of non-identical master slave flip flops at 65 nm node,” IJITEE, Vol. 9, no. 1S, pp. 18–22, 2019.
  • J. Yuan, and C. Svensson, “New single-clock CMOS latches and flipflops with improved speed and power savings,” IEEE J. Solid-State Circuits, Vol. 32, no. 1, pp. 62–9, 1997.
  • N. Kawai, et al., “A fully static topologically-compressed 21-transistor flip-flop with 75% power saving,” IEEE J. Solid-State Circuits, Vol. 49, no. 11, pp. 2526–33, 2014.
  • J.-F. Lin, M.-H. Sheu, Y.-T. Hwang, C.-S. Wong, and M.-Y. Tsai, “Low-power 19-transistor true single-phase clocking flip-flop design based on logic structure reduction schemes,” IEEE Trans. Very Large Scale Integr. Syst., Vol. 25, no. 11, pp. 3033–44, 2017.
  • Y. Cai, A. Savanth, P. Prabhat, J. Myers, A. S. Weddell, and T. J. Kazmierski, “Ultra-low power 18-transistor fully static contention-free single-phase clocked flip-flop in 65-nm CMOS,” IEEE J. Solid State Circuits, Vol. 54, no. 2, pp. 550–9, 2019.
  • A. K. Mishra, U. Chopra, and D. Vaithiyanathan, “A partially static high frequency 18T hybrid topological flip-flop design for low power application,” IEEE Trans. Circuits Syst. Express Briefs, Vol. 69, no. 3, pp. 1592–6, 2021.
  • A. Kumar Mishra, D. Vaithiyanathan, and U. Chopra, “Design and analysis of ultra-low power 18T adaptive data track flip-flop for high-speed application,” Int. J. Circuit Theory Appl., Vol. 49, no. 11, pp. 3733–47, 2021.
  • D. Markovic, B. Nikolic, and R. Brodersen, “Analysis and design of low-energy flip-flops,” in Proceedings of the 2001 International Symposium on Low Power Electronics and Design, Huntington Beach, CA, 2001, pp. 52–5.
  • R. Razmdideh, and M. Saneei, “Two novel low power and very high speed pulse triggered flip-flops,” Int. J. Circuit Theory Appl., Vol. 43, no. 12, pp. 1925–34, 2015.
  • G.-P. Xiang, J.-Z. Shen, X.-X. Wu, and L. Geng, “Design of a low-power pulse-triggered flip-flop with conditional clock technique,” in 2013 IEEE International Symposium on Circuits and Systems (ISCAS), Beijing. IEEE, 2013, pp. 121–4.
  • G. Shin, E. Lee, J. Lee, Y. Lee, and Y. Lee, “A differential flip-flop with static contention-free characteristics in 28 nm for low-voltage, low-power applications,” IEEE J. Solid-State Circuits, Vol. 58, no. 5, pp. 1496–504, 2022.
  • R. Lorenzo, and S. Chaudhury, “Review of circuit level leakage minimization techniques in CMOS VLSI circuits,” IETE Tech. Rev., Vol. 34, no. 2, pp. 165–87, 2017.
  • P. Zhao, Z. Wang, and G. Hang, “Power optimization for VLSI circuits and systems,” in 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Shanghai. IEEE, 2010, pp. 639–42.
  • J.-Y. Park, M. Jin, S.-Y. Kim, and M. Song, “Design of a dual change-sensing 24T flip-flop in 65 nm CMOS technology for ultra low-power system chips,” Electronics., Vol. 11, no. 6, pp. 877, 2022.

Reprints and Corporate Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

To request a reprint or corporate permissions for this article, please click on the relevant link below:

Academic Permissions

Please note: Selecting permissions does not provide access to the full text of the article, please see our help page How do I view content?

Obtain permissions instantly via Rightslink by clicking on the button below:

If you are unable to obtain permissions via Rightslink, please complete and submit this Permissions form. For more information, please visit our Permissions help page.